High-Resolution EUV Imaging Tools for Resist Exposure and Aerial Image Monitoring
Editor(s): Vivek Bakshi
Author(s): Malcolm Gower
Published: 2008
Abstract
Two types of high-resolution EUV imaging tools have been developed by Exitech for commercial use by the semiconductor industry. The MS-13 Microstepper is a small-field, high-resolution imaging tool designed for early learning on resist exposures at an imaging numerical aperture (NA) similar to that adopted for production scanners at the 32-nm node. This tool enables R&D in areas such as resist development and reticle defect printability. It also provides crucial general early learning on EUVL potential technology blockages, hardware, tool infrastructure, and the economics thereof. The first MS-13 Microstepper tools have been installed at the RP1 fab of Intel Corporation in Hillsboro, Oregon, and at the EUV Resist Test Center in the NanoFab North facility of SEMATECH North in Albany, New York. The RIM-13 is a reticle imaging actinic microscope capable of capturing aerial images from EUV reticles with illumination and imaging characteristics that emulate full-field production scanner tools. This tool, part of a joint development agreement (JDA) between Exitech and SEMATECH, fulfills an essential requirement for actinic inspection and printability studies of amplitude and phase defects on blank and patterned EUV reticles.
Online access to SPIE eBooks is limited to subscribing institutions.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Reticles

Extreme ultraviolet

Scanners

Inspection

Microscopes

Semiconductors

Back to Top