Topcoat and Resist Processes for Immersion Lithography
Author Affiliations +
Abstract
Practitioners of 193-nm immersion lithography use three topcoat approaches: solvent-soluble topcoats, developer-soluble topcoats, and no topcoat. Topcoats are coated over resists to prevent the components in the resists from leaching into water. After exposure, topcoats are removed using either a solvent (solvent-soluble) or a developer (developer-soluble). Application of topcoats enables the use of 193-nm dry resists for the immersion process. These 193-nm dry resists are widely used in production; however, they typically have high levels of leaching. Early in the development of 193i, when low-leaching and high-performance 193i resists were not available, topcoats were a practical and viable solution. They worked with dry resists and were removed after development. Down-stream processes, such as etch, did not need to be changed. Therefore, the developer-soluble topcoat processes were relatively easy to integrate into manufacturing lines and remain the most commonly used processes today. In contrast, solvent-soluble topcoats have never been used in mass production because they are made from fluoropolymers that require toxic solvents for removal. Additionally, solvent-soluble topcoats require dedicated modules for coating and removal. Resist processes without topcoats have always been the preferred approach. The elimination of a topcoat simplifies the process, eliminates the coat and bake steps for the application of topcoats, reduces the cost of ownership, and eliminates one source of defects. Conventional dry 193-nm resists are not suitable for use in immersion lithography without topcoats, because their components leach into water and contaminate the exposure head. In order for a resist to be suitable for use without a topcoat, it must simultaneously meet the requirements of low leaching and superior lithographic performance. This chapter focuses on methods for processing developer-soluble topcoats and resists without topcoat. It covers the following topics: selection of developer-soluble topcoats, process optimization of developer-soluble topcoat and resist stack, new approaches for developing topcoats, resist processes without topcoat, and new approaches for high-performance 193i resists.
Online access to SPIE eBooks is limited to subscribing institutions.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist processing

Immersion lithography

Coating

Etching

Head

Lithography

Manufacturing

Back to Top