PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 12915, including the Title Page, Copyright information, Table of Contents, and Conference Committee.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In 2019, Lasertec successfully developed ACTIS™, the world’s first actinic patterned mask inspection (APMI) system, and has since been providing it as a solution to customers for use in EUV lithography production processes. APMI, is a type of inspection that utilizes the same 13.5nm EUV light used in EUV lithography. ACTIS can perform high-resolution, high-throughput inspection of EUV photomasks and detect all types of printable defects, the mask defects that would be printed on wafers in the EUV lithography process. DUV inspection can detect some of the printable defects. However, DUV inspection cannot detect phase defects, whereas APMI can. A comparison between the inspection performance of DUV and APMI for several defects, including phase defects, is provided in this paper. High-NA lithography is expected to be used for the EUV process at the technology nodes of N3 and beyond. High-NA lithography will be achieved by utilizing anamorphic optics, where magnification scales of projection differ along the horizontal and vertical axes. ACTIS has the extendibility to meet the requirements of high-NA lithography as it can be modified to have the NA on the mask extended along a single axis. Lasertec is developing a new generation ACTIS for use in high NA EUV lithography with higher NA projection optics. In this paper, we present the progress of ACTIS inspection technology, suitable characteristics of the Light Source Required for APMI, and simulation results generated by a rigorous optical simulator using electromagnetic calculations which shows performance improvement of new generation ACTIS with higher NA projection optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photomask technological innovation has entered a new renaissance at the cutting edge due to the transition from 193 nm to extreme ultraviolet (EUV) in high volume production. EUV has allowed the manufacture of smaller and smaller features on the mask with more complex multilayer material stacks that allow for little dimensional error in both patterning and defect repair. To meet these, and other challenges, work has continued to develop material independent AFM nanomachining processes that enable next-generation tips with increasing aspect ratios. Repair results from the current best of breed process, a novel and advanced nanomachining technique, will be analyzed on the latest platforms for production. Data will be reviewed to show the process capability for single pass repair on EUV patterns using 1.8 aspect ratio (AR) NanoBits® while also looking forward to implementation to higher AR NanoBits. The process will be evaluated for dimensional control to target, cleanliness, tip wear, and throughput in defect repair in single-digit nanometer technology node patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nanoimprint lithography, NIL, has been developed for fine feature pattern lithography for semiconductor fabrication as new generation lithography. NIL can realize finer and higher density of 2D patterns without design restriction. Additionally, NIL has potential of transferring 3D shapes if the template has 3D shapes. In this paper, we discussed the performance of our template for dual damascene processing. EB written master template quality targeting sub 20nm half pitch and template replication process of 3D shape was presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For defectivity, we review random defect generation, particle adders and mask inspection methods. As a final topic, we describe Canon’s interests in fabrication beyond traditional advanced semiconductor devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. DRAM memory is challenging, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL an attractive solution. The purpose of this paper is to review the performance improvements related to edge placement error (EPE) for NIL. Key EPE components include overlay, local critical dimension uniformity (LCDU) and global critical dimension uniformity (GCDU). In this work, we review each component, summarize current capability and present a roadmap for improving EPE to meet future generations of DRAM devices. In addition, we present a reverse tone pattern transfer process that has the potential to further reduce GCDU and EPE for NIL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron Beam Lithography (EBL) has a capability to fabricate fine patterns with nanometer order, and is thought to be one of the possible technologies to fabricate a nanoimprint mold with the pattern size less than several 100 nm. We have developed Ultra-High Throughput EBL system, which equipped an Electron Optical Column (EOC) with a large beam current, and a large deflection field for fabricating a nanoimprint mold with wafer size. “ELF-10000” is the first model of our Ultra-High Throughput EBL, which has a deflection field of 10 mm square. And, we achieved to the drawing time of 4 hour 14 minutes to fabricate a mixed pattern of micron size and nanometer sizes on entire surface of 8 inch wafer. Moreover, we have improved the field stitching accuracy of the EBL system, and released the new model “ELSHAYATE”. It has 5 mm square field size, which is a half of the first model and has the field distortion less than half. This new model is expected to be a useful tool for nanometer order pattern drawings on the area larger than 10 mm square, which may have several possible applications for wafer size nanoimprint mold.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Contact hole variability is an important problem in the application of extreme ultraviolet lithography for memory applications. One method to reduce it is source optimization. An important source of on-wafer variability is the variability on mask. This can transfer in very different ways for different illumination pupils. Aim: Understand root cause of pupil-dependency of mask variability transfer to wafer. Predict which source can best reduce it. Approach: Look at the background diffraction intensity (BGI) caused by mask variability to explain aerial image LCDU. We make predictions of wafer LCDU based on how BGI transfers for different pupils and compare it to experimental data. Results: BGI has a spectrum that is given by the shape of the contact hole and is mostly concentrated at small spatial frequencies. For large sigma pupils much less BGI is transferred through the lens than for small sigma pupils. On wafer LCDU is well predicted by BGI divided by NILS. Conclusions: Large sigma pupils transfer much less BGI than small sigma pupils, so they reduce LCDU on wafer. For relaxed pitches, small sigma pupils can increase NILS by capturing additional diffraction orders of the periodic pattern. This can outweigh the larger BGI transferred and lead to smaller systematic LCDU for small sigma pupils. For high-NA, the central obscuration reduces BGI for small sigma pupils but large sigma pupils are still preferred. Tachyon SO can find the right pupils to minimize systematic LCDU by adding a large supercell clip with mask LCDU into the optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Laser-assisted Discharge-produced Plasma (LDP) EUV source is a system to generate EUV from discharged plasma triggered by laser on one electrode disc which is coated by tin film. The source has been proven as a highly reliable light source in EUVL high volume production. Also, LDP EUV source enables to generate high brightness with relatively larger EUV plasma, which benefits space stability as well as relatively higher plasma power. In this session, the following items will be presented. (1) LDP EUV source configuration and operation sequence. (2) LDP EUV source key performance (3) Reliability improvement. (4) Others.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As semiconductor device fabrication moves towards 2 nm technology nodes with EUV lithography, new EUV absorber materials will be needed to replace the current Ta-based EUV photomasks. The industry is looking for new absorber materials with a low refractive index (n) and a high extinction coefficient (k), to produce an attenuated phase-shift EUV photomask capable of minimizing 3D effects. The challenge is that these new materials are often difficult to etch. To identify the etching pathway for new EUV material candidates, this paper proposes the approach of thermodynamic characterization for various chemistries as etching byproducts. The Gibbs free energy of formation for these compounds can be collected at standard state conditions, so the potential for such chemical reactions can be evaluated. Meanwhile, the volatility of these reaction products can be estimated by the respective boiling points, which can be calculated from respective heats of vaporization at reduced pressures typically found in a plasma etch chamber. Collectively, this information can help to screen for new low-n / high-k absorber materials, to focus the selection only to candidates with potential etching feasibilities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EB (electron beam) resist is widely used for the EUV (extreme ultraviolet) mask production. Tighter pitch size and smaller pattern features are required on EUV mask for the next generation EUV patterning. A novel, high dose, positive-tone chemically amplified resist (pCAR) using PHS (polyhydroxystyrene) based polymer has been developed with improved resolution performance as verified by Point-beam writer and Multi-beam mask writer (MBMW). In this study, several high dissolution contrast pCAR formulations were studied under Point-beam conditions to investigate the impact of the chemical blur and the chemical stochastics on lithographic performance. For suppressing the chemical blur in the pCAR after exposure, formulations using larger size PAG (photo acid generator) could improve the resolution performance due to reduced acid diffusivity. For reducing the chemical stochastics, higher PAG loading pCAR and the low sensitivity pCAR were investigated. Improved resolution and LWR (line width roughness) performances were obtained by these pCAR up to approximately 200μC/cm2 under Point-beam condition. On the other hand, the lithographic performance was degraded at 270μC/cm2. Analytical results of PAG decomposition ratio by HPLC (high performance liquid chromatography) suggested the possibility that decreased acid concentration gradient could degrade lithographic performance when the pCAR sensitivity is too low. The investigation of different dissolution contrast pCAR showed that higher dissolution contrast pCAR with higher Rmax (maximum dissolution rate) resulted in improved resolution performance. According to this study, efforts to minimize the chemical blur and stochastics, and to maximize the photoresist contrast were the key factors for designing high-performing, low sensitivity pCAR. The verification results of the ultimate resolution of the representative pCAR with 60nm film thickness were also described in this paper under MBMW and mask process conditions. HP (half pitch) 21nm line and space pattern could be resolved without pattern collapse. The small ring pattern with a 10.5nm pattern width was nicely resolved as the smallest feature.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The integration of curvy mask patterns represented by Inverse Lithography Technology (ILT), into Mask Data Preparation (MDP) has been a practical reality due to the emergence of multi-beam mask writers. This has led to a shift in the industry’s focus from approximating curvy patterns with piecewise linear polygons to directly handling the curves themselves to satisfy the requirements of higher fidelity and data volume. To address this challenge, a working group has been organized with the mask industry to extend OASIS format so that curves can be represented directly. This will lead a growing need for direct handling of curves in various stages of MDP. The geometry processing algorithms used in MDP are designed and optimized for Manhattan shape and piecewise linear polygons so that direct handling of curves in such algorithms are challenging and still in an early stage. This study aims to provide insight of the impact of the introduction of curve patterns into MDP through a comparison with the traditional piecewise linear polygon representation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since the design nodes gradually decreased and EUV production became reality, the data volume is continuously increasing due to Hard OPC & Flare Correction. Multi-Beam Mask Writers (MBMW) enabled mask exposures with curvilinear and circle pattern that have not been possible before. This soon led to an increase in the number of vertexes of design data and an increase in Mask Data Preparation turnaround times (MDP TAT). A data flow based on the newly developed MBW-2 file format was developed jointly with Nippon Control System and IMS nanofabrication and significantly improved MDP TAT. The effect was confirmed by verifying it with actual data using large-volume data and curvilinear data EUV masks exposed on MBMW. In addition, the MDP TAT was further improved by studying file write method. In this paper, we introduce the concept and application of the new data flow. Furthermore we will present the results on TAT and output file sizes. Finally, we will discuss each step in the data flow in detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The eBeam Initiative completed its 11th annual opinion survey in July 2022 with anonymous feedback from industry luminaries representing 44 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research. Started in 2012, the Luminaries Survey is used each year to gather predictions of industry trends. 2022 survey results reflect that EUV is fueling the photomask industry as 78 percent of survey respondents believe that EUV lithography will contribute to photomask (mask) revenue growth. EUV remains the top reason cited by survey respondents for purchasing multi-beam mask writers. Access to multi-beam mask writers is seen as less of a barrier to curvilinear mask making compared to the 2021 survey. Confidence among luminaries in curvilinear mask making remains high, with 76 percent of respondents indicating that leading-edge mask shops can handle at least a limited number of such masks. With EUV providing the fuel, optimism for overall photomask market growth continued in 2022 with 70 percent of survey respondents predicting that mask revenues in 2022 will increase compared to 2021 revenues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The PR (Photo Resist) strip process before MoSi etch is a critical step in the manufacture of high-end PSM (Phase Shift Mask). Any impurity on MoSi film before MoSi etch would generate killer defect which leading to mask reject and yield loss. A super-thin opaque MoSi type defect called as MoSi stain in this paper was observed by KLA mask inspection that is almost unrepairable due to the characteristic of large defect size. This defect locates on Qz (quartz) area and adjacent to MoSi pattern of the mask. It was analyzed by SEM (Scan Electron Microscope) and TEM (Transmission Electron Microscope) that the components of this defect are Mo/Si/O/N, which are same as mask MoSi film. The root cause was proved in this paper to be the chemical residuals in PR strip process on Qz area of the mask that was not fully removed by clean process before MoSi etch, hence, those impacted area of MoSi film was not completely etched in MoSi etch process. In addition, the PR strip recipe was also optimized to prevent mask from encountering chemical residual to address this MoSi stain defect from occurring again without any side-effect impact on mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nikon has been developing the Digital Scanner, an optical maskless exposure tool with a DUV light source. The Digital Scanner uses a spatial light modulator and rasterized pattern data, instead of glass photomasks, to project an optical image. The modulator is a micromirror array and each micromirror takes one of two possible states, so the pattern data are essentially equivalent to a one bit per pixel bitmap image. In spite of the one-bit depth input similar to a black-and-white bitmap, the Digital Scanner can control projected patterns in subpixel resolution because the pixel size is chosen to be smaller than the resolution of the projection optics. Besides the projection hardware, we have also developed special pattern data preparation system for the Digital Scanner in order to realize the subpixel controllability. Polygons from GDSII or OASIS files are rasterized by dedicated pixel-based algorithms so that the optical image of the resulting pixel data becomes equivalent to that of input polygons. Another pattern data converter with optical proximity correction (OPC) capability is also being developed and available for large area conversion. We explain the exposure system of the Digital Scanner and report the progress of the pixel-based data preparation system including recent demonstration printing results of exposure data generated by the new converter that has OPC capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The formula for electron beam lithography (EBL) throughput reached consensus as intractable about 20 years ago. The slow throughput of the time was predicted to scale with the 5th power of linear resolution. This formula caused some to dismiss EBL from candidates for high throughput lithography. However, 3 of the 5 powers of scaling were due to the use of high voltage beams. Ultra-low voltage (ULV) EBL from uses electron energy from 50V to 400V, comparable to extreme ultra-violet (EUV) photons (at 92eV). Both create chemistry in the resist through electrons of similar energy. A dose of 60 mJ/cm2 ULV electrons will expose a modern 20nm thick EUV resist. The current per square nm will remain constant independent of resolution – no cubic adverse scaling due to pixel size or beam coupling. The remaining part of the formula is quadratic scaling due to the number of pixels. Data rates of 625 gigabits per second will be needed to reach 10 wafers per hour (WPH) at EUV-comparable resolution, well within modern data handling capabilities. There remain issues to be solved such as micron-scale electron optics, pixel shaping, secondary electron scattering, the intensity of the electron sources, the modulation of millions of beams, the buildup of charge on the resist, raster patterning, reliability and uniformity of the beam source. These will be addressed to show there is a path forward in high throughput ULV EBL, worthy of renewed exploration. The engineering challenges are not mathematically doomed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the present situation of stereophonic lithography using a pair of parabolic mirrors, a main subject of the research and challenges to solve it were discussed. Using a commercially available magic mirror system composed of a pair of parabolic mirrors, it was capable to make 3-dimensional real images of the original reflective objects placed on the lower mirror bottom at just above the upper mirror aperture. Utilizing this optics, and adding some ideas, stereophonic lithography on curved articles were enabled. At first, an aperture was opened at the bottom center of the lower mirror also. Next, transparent curved reticles were used instead of the original reflective objects. In addition, the transparent curved reticles were illuminated obliquely upward from the bottom using a collimated light from one side. Owing to these ideas, it was demonstrated that patterns on a curved spoon-shape reticles were successfully replicated on spoon-shape articles with the same shape. However, the reticles were made by pasting transparent seals with emulsion illustrations. Such reticles were not desirable from the view point of accuracy and exposure homogeneity. To solve this important subject, a new idea was contrived further. That was the replication from the conventional flat reticle to curved articles using the same parabolic mirror optics. Because the focal redundancy was very large, patterns were replicable, though light intensity distributed, pattern shapes were distorted, and pattern widths were also distributed. However, it was thought feasible to utilize flat reticles by compensating the light intensity distribution and image distortions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extracting feature lengths, such as width, depth, and so on, from cross-sectional scanning electron microscopy (SEM) images is an inevitable task in the process development of semiconductor devices. If this extraction task is done manually, the precision of the result depends on the operator’s skill, and this task will be time consuming. We previously proposed a deep-learning-based automated measurement method that combines two image-recognition tasks: (1) semantic segmentation for obtaining the boundaries of each area (mask, substrate, and background) and (2) object detection for determining the coordinates of each unit of a line/space (L/S) pattern. However, it required annotation data consisting of segmented images and bounding boxes, which are not easily made by operators. In this study, we propose a novel measurement method based on a human-pose estimation (HPE) model, which is easier to use.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The purpose of this trial is how an AI-based approach can contribute to improving the operability of photomask inspection equipment. It is important for the equipment operation how to efficiently identify photomask defects. In particular, it is essential to accurately perform the filtering of erroneous judgments of inspection equipment called false defects. Furthermore, for actual defects, it is necessary to classify the defect types as accurately as possible. This paper describes how to implement AI approach into the “Defect Review System”. Especially, in case that the equipment can capture both transmission and reflection images simultaneously, effective utilization of both images has been shown to result in more effective identification of defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We evaluated the charge-separated energy spectra of the suprathermal highly charged gadolinium (Gd) ions as debris from a laser-produced plasma (LPP). Laser pulses with pulse durations of 6 ns and 150 ps were irradiated to a solid planar Gd target. Charge-separated suprathermal Gd ions from an LPP were measured using an electrostatic energy analyzer (ESA). The maximum ionic charge state was q = 16, and the maximum energy was about 30 keV (q = 16) at the pulse duration of 150 ps under the laser intensity of IL = 2 × 1012 W/cm2. At the pulse duration of 6 ns under the same laser intensity of IL = 2 × 1012 W/cm2 by a control of a laser pulse energy and a focal spot diameter, the maximum ionic charge state was q = 15, and the maximum energy was 15 keV (q = 10), approximately half of that in the case of the pulse duration of 150 ps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In 2019, EUV lithography technology with a wavelength of 13.5 nm was first applied to mass production of 7 nm+ node logic devices. In the future, since semiconductor devices with higher-density electronic circuits are required, beyond EUV (BEUV) lithography technology with an exposure wavelength around 6.7 nm is expected to utilize as the next generation lithography. The development of multilayer with high reflectivity is a critical issue for BEUV lithography. La/B-based multilayers were reported as a high theoretical reflective multilayer. However, the stability of the reflectance of La/B-based multilayers is low because of the high reactivity of La material. Thus, we propose carbon/boron (C/B) multilayer for BEUV multilayer. The C/B multilayer has a high theoretical reflectance of approximately 80%, which is comparable to the La/B multilayer. The optical constant of the carbon film depends on its density, and high density is required to obtain high reflectance and wide reflection bandwidth. For the C/B multilayer performance estimation, we deposited carbon and boron monolayer films and measured the actual optical constants at BEUV light using a reflectometer at the NewSUBARU synchrotron light facility. We discuss the performance of the C/B multilayer using the measured optical constants.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the back-end process of mask manufacturing, AIMS™ plays a crucial role to evaluate defect printing and verify the results of repair. Comparing the difference of luminous intensity between defect and reference regions, the influence of defect could be taken into control accurately. Nevertheless, providing reference images for computation would be a tough task while performing on single die photomasks. Hence, we have developed a methodology for reference searching which take advantage of the pattern matching function in Smart-MRC. By setting up criteria with results of pattern matching, identical or extremely similar reference locations would be point out. It is more dependable and efficient than manual operation on the whole mask. With this Mask Data Preparation technique, the workflow of back-end process becomes smoothly, and the quality of photomask can be guarantee.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Explore a method for measurement the sidewall angle of photomask patterns using the CD-SEM (critical dimension scanning electron microscope) device. This tool is widely used in the semiconductor industry for metrology CD measurements and is one of the most common inspection methods. The CDSEM tool ZX has advanced techniques that not only measure line width but also build a 3D model of the scan. The CDSEM tool measures the sidewall angle by determining the top and bottom positions of the photomask pattern, and using the data obtained from these positions, the distance of the horizontal and vertical can be calculated. These data are then used in an algorithmic equation to simulate the slope value and calculate the sidewall angle. To verify the correctness of the sidewall angle value obtained through CDSEM measurements, TEM (transmission electron microscopy) is used. TEM is an intuitive method that uses a high-energy electron beam to capture highresolution cross-sectional images of larger materials such as photomasks. TEM is a common method for analyzing the sidewall angle and thickness of thin films and is widely used in material science and nanotechnology. However, TEM's cross-section implementation is a destructive method and is not an ideal method for testing product photomasks. In this study, the simulated data from CDSEM and actual cross-sectional data from TEM are collected and integrated for crosscomparison to obtain the corresponding relationship.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Today, the semiconductor industry is booming, because data centers, state-of-the-art devices, power semiconductors, etc. have high demand. In particular, EUV is leading the industry as a novel technology for advanced lithography. On the other hand, mature product lines are also supporting the overall semiconductor industry as a whole. Photomasks have become a very important key parameter for the semiconductor industry. The manufacture of photomasks with stable quality and on-time delivery is essential for stable chip production, but it is very challenging to identify manufacturing problems because of the wide variety of photomasks and patterns. In this study, we established a methodology to analyze the data of various equipment, processes, and materials in photomask manufacturing, and obtained various improvement results using Digital Transformation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.