PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Not since the late 1970s has the potential existed for maskmakers to provide such significant value to the device manufacturer. This paper addresses this emerging opportunity and the challenges it represents. In addition to opportunities, we look at possible setbacks as the industry returns from what has been accurately described as the seven-year vacation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New inspection capabilities have been developed in order to meet the emerging needs of reticle inspection. Many proposed optical proximity correction (OPC) methods currently utilize small (< 0.5 micrometers ) edge jogs on the reticle to affect edge feature placement on the wafer. A test plate with 50 nm to 0.50 micrometers edge jogs was fabricated and characterized with automatic inspection equipment. Maintaining input database resolution was found to be a significant factor in eliminating false stops at a high defect detection sensitivity. Inspection of attenuated and embedded attenuated (single layer halftone) phase shifting masks (PSM) has been successfully accomplished for both non-patterned blanks and patterned reticles. A new inspection mode was developed for non-patterned attenuated blank inspection which demonstrated 0.3 micrometers pinhole detection sensitivity and has the capability for +/- 5% absolute transmission error detection. Using programmed defect embedded attenuated phase shift mask test plates, defect detection sensitivity was found to be very similar to that of chrome masks. Deep UV (DUV) stepper technology for the production of 0.25 micrometers linewidths will challenge maskmakers' lithography, inspection, and repair processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shifting masks (PSMs) have been shown to increase resolution in optical lithography as low as 0.25 micrometers . However, the production of defect-free PSMs remains a challenge. The increase in resolution decreases not only the maximum allowable chromium defect size, but also introduces phase defects that print at even smaller sizes than regular absorber defects. In addition to repairing smaller defects, PSM repair also has to deal with different new materials, and develop new approaches to defect metrology for transmission and shifter defects. A new focused ion beam (FIB) repair tool has been developed over the last year: the Micrion 8000PSMR. This paper describes the progress during this development with respect to imaging, absorber deposition, absorber removal, and quartz removal. Comparison to currently available laser repair tools is included where appropriate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Embedded shifter photomasks have an absorber layer on a quartz substrate. This absorber layer provides the phase shift and also the desired transmission at the lithographic wavelength. Defects consist of missing and extra absorber. Extra absorber defects may be repaired by sputtering away the unwanted material with an ion beam. Missing absorber defects may be repaired by depositing an attenuating material using focused ion beam CVD. It may also be necessary to match the phase shift of the missing absorber by milling into the quartz before depositing. In this paper, we explore the issues involved in making successful focused ion beam repairs of embedded shifter defects. We make several repairs on an embedded shifter mask and analyze the results using a SEM, an UV microdensitometer and an aerial image microscope.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Processes for repairing defects on phase shifting masks have been developed at Excel/Quantronix. The processes are based on DUV (248 nm) laser ablation and DUV laser- assisted chemical vapor deposition. The light source of the repair system consists of a gain- switched Ti:Sapphire laser system. The 248 nm wavelength is obtained by frequency tripling. The all-solid-state laser provides high stability, short pulse duration, and good beam quality required by the repair processes. By significantly improving the optical system, we are capable of repairing features with a diameter of approximately 0.2 micrometers . The repair of programmed defects such as 0.5 X 0.5 micrometers 2 extra quartz phase shifter (with or without chrome on top) and 1 X 1 micrometers 2 phase divots have been successfully demonstrated and examined by the aerial image measurement system (AIMS) developed by IBM. After opaque defect repair, the repaired area exhibits a transmission greater than 95% for both I-line and 248 nm. Clear defects are repaired in an open-air environment with controlled transmission. The deposited films show good uniformity and sharp edges. Extra quartz phase shifter defects are reliably repaired in an open-air environment with the technique of laser ablation by surface enhancement (LASE), which was developed at Excel/Quantronix. Phase divots have been successfully repaired by photolytic deposition of SiO2 in a vacuum system using a single precursor, without the need of an oxidizing co-reactant. The repair techniques developed by Excel/Quantronix have broad applicability to a wide variety of conventional and phase shifting photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask precision specifications continue to become more stringent with the advent of 64 Mb and 256 Mb DRAM fabrication. At the same time, data density per mask layer has increased and the data address size has decreased, limiting mask throughput. The first ALTA-3000 laser mask lithography system has recently been factory acceptance tested and is in the process of being installed in the IBM mask fabrication facility. The Etec ALTA-3000 is a new mask lithography system utilizing advanced scanning and data-path technology to meet the performance and throughput requirements of advanced mask manufacturing needs. A 32- channel parallel data-path and printing system is utilized to improve throughput with large patterns and small address sizes. An advanced 8-pass printing strategy is used to improve error reduction through averaging. `Gridless' printing is accomplished by incorporating grid snap errors from the 8.333 nm address unit size into the CD and placement error budgets. Plate sizes up to 9' X 9' can be accommodated on the new high-speed air-bearing stage. The performance of the system, as measured in factory acceptance testing, is compared to the design specification for critical dimension (CD) control, composite overlay, placement accuracy, stripe butting error, scan linearity, and edge roughness. One major problem encountered in measuring system performance is mask blank quality. With typical mask lithography tool CD performance below 30 nm, CD error contributions above +/- 5 nm from the mask blank become significant components of total CD performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask and reticle requirements for 256 Mb to 1 Gb DRAM production will put stringent demands on tool capability. The EBES4 electron beam lithography system architecture and subsystems were designed to optimize overlay and edge placement resolution to meet the needs of these leading edge technologies. EBES4 uses raster scan micro-figure exposure and vector scan major and minor field deflection to achieve 15.6 nm position resolution. The exposure source is a long life, stable thermal field emitter with current stability of better than 0.5% for over 10,000 hr. This source provides single pass exposure doses up to 16 (mu) C/cm2, supporting lower sensitivity resists with no throughput penalty. A VAXTM based data control structure combined with a compressed data format allows per figure dose assignments. This design supports future writing requirements and enables the system to maintain high throughput while processing the very large databases required for optical proximity corrections (OPC) and e-beam proximity effect corrections (PEC). This paper describes how the EBES4 architecture differs in significant ways from the traditional raster scan e-beam and optical exposure technologies. Performance of the EBES4 is described with respect to advanced reticle performance requirements: overlay accuracy, edge placement resolution, proximity effect correction, and optical proximity correction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a laser pattern generator constructed by modifying a GCA 3600F tool for the production of research photomasks. The mercury arc lamp illumination source was replaced with an excimer laser. Extensive modifications made to the subsystems and software are described. The result is a tool with a 25X average throughput improvement, a resolution of 1.0 micrometers , and an 8X increase in overlay precision. The laser pattern generator retains the ease of operation of the original system and exhibits improved reliability. The overall cost of the implemented improvements is a small percentage of a state-of-the-art laser or electron beam mask generation tool. We report on the results of generating 2X, 5X and 10X reticles as well as 1X photomasks from the past three years.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Performance of a MEBES tool depends in part on how well it is optimized for a particular user application. This paper examines the efforts made to optimize a MEBES 4000 at Intel to meet performance goals of 350 nm design rules. The areas of particular concern are critical dimension, resolution, and composite positional accuracy. PBS resist processes and cassette- specific corrections (CAZOC) for six cassettes are examined to meet these goals. As part of a SEMATECH development program, a MEBES 4000 system at Etec is being upgraded to a MEBES 4500. The performance of the tool is characterized at each incremental phase of the upgrade. Results show that significant advances have been made in accuracy, system calibration and control, and data path.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An in-depth study of image-placement distortion contributors has been completed using the IBM EL-3+ electron-beam lithography system for fabricating x-ray masks. The EL- 3+ is an IBM-designed system which has been described in previous papers. System features include: 50-kV accelerating voltage, variable-shaped spot, variable-axis immersion lens (VAIL) for normal incidence of the beam to the target plane, and 20 A/cm2 current density. Image-placement performance of the system is measured with respect to an absolute grid as defined by a National Institute for Standards Technology magnification standard. Although repeatable image-placement errors can be corrected by the use of novel techniques, the correction methods can be very time-consuming and labor-intensive. The purpose of this study was to determine error sources so that they could be minimized, eliminating the need for complex correction methods. The results showed that the primary errors were pattern- dependent and caused by the electron-beam system (e.g., beam drift) and substrate/resist charging; they also indicated that resist stress could be a significant factor in x-ray mask- making because the substrate is a very thin membrane. The experimental procedure and results are presented in detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Design rules for 250 nm devices and optical proximity correction (OPC) enhancement techniques require improved resolution. Resolution requirements for these applications extend into the 500 nm realm. The most widely used resist for e-beam generated masks is PBS. Difficulties have been reported when using PBS for features smaller than 2.0 micrometers . These have included poor resolution and CD linearity anomalies at <EQ 1.5 micrometers . Methods were investigated to improve the resolution and CD linearity of PBS resist. The investigation included a study of resist profiles. Results show that resist wall angles improve with increases in dose. At a nominal dose of 1.0 (mu) C/cm2, angles can range from 40 to 60 degrees, depending on the type of feature. A significant improvement in wall angle is noted at 2.0 (mu) C/cm2, and the angles continue to improve with higher doses until they approach 90 degrees at 4.0 (mu) C/cm2. The symmetry of resist lines improves when a puddle process is used instead of the standard spin-spray process. Fluid flowing across the resist during spin-spray processing creates asymmetries, especially with submicron features. This flow asymmetry is eliminated with a puddle process. Postdevelop baking is critical for both resolution and CD linearity. Resist baked at the standard 120 degree(s)C shows degradation of wall angles, asymmetry of resist profiles, and loss of unexposed resist at feature edges. Poor CD linearity is caused by postbaking at temperatures that are too high. A postbake of 98 degree(s) to 102 degree(s)C maintains sharp wall angles and prevents line edge roughness. Experiments show good resolution at 0.7 micrometers for all features, including contacts in both tones, with good CD linearity, CD control, and CD uniformity. The changes made in PBS processing described in this paper can result in acceptable processing of features down to 0.5 micrometers for most mask layers, without relying on the use of proximity effect correction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The level of difficulty associated with producing leading edge integrated circuits continues to increase with every design iteration. As we move beyond the 16 mB barrier the photomask manufacturers will encounter some unique challenges. Reticles for future generations of products will require sub-resolution features to be printed on the reticle in order to aid in resolving minimum features on the order of 0.35 micrometers and below at the wafer plane. This will place renewed emphasis on both resolution and feature size linearity for the maskmakers. An alternative resist to PBS would be helpful in meeting these challenges. Although PBS has good capabilities in the areas of resolution and feature size linearity there have always been inherent difficulties in processing it. It does not have good dry etch resistance and the develop process is solvent based which causes problems with swelling. Conversely, the novolak based resists are usually characterized by good resistance to dry etching environments and aqueous based develop processes. This paper investigates a novolak based resist that was specifically formulated for photomask manufacturing by IBM but has not been commercially available until recently.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In manufacturing 256-Mbit DRAM reticles, it is said that 4x reticles will be required. In this case, we must control 1.0 micrometers patterns on the reticle. Therefore, to achieve this accuracy, we need to solve some problems at various stages in manufacturing including exposure, process, and inspection. We studied the process and tried to solve some problems. As a result of our experiments, some effective methods were found. They are: (1) use of thinner resist; and (2) improvement of etchant and the wet etching method. This has the advantage of only needing minor changes of existing techniques. This paper describes the effects of these techniques and the critical dimension (CD) uniformity of reticles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The process of record for mask fabrication on CORE pattern generators has been OCG-895i positive resist. This process has demonstrated excellent performance in a production environment, however it is recognized that having both negative and positive resist process capability would significantly improve the flexibility of most mask fabricators. The CORE exposure wavelength of 363.8 nm is close enough to i-line (365 nm) to provide the opportunity to use many of the i-line resists developed for wafer lithography. Negative acting resists sensitive at i-line are now becoming available. The most popular chemistry for this application has been acid catalyzed chemical amplification. These formulations typically contain a novolak resin, an acid generator, and a melamine crosslinking agent. The chemistry of such formulations has been previously described. An evaluation of OCG LMB-7011, an acid catalyzed chemically amplified negative i-line resist, has been conducted with the CORE-2564. This resist can be processed similarly to OCG-895i in standard mask process equipment, except that a post exposure bake is required to crosslink the exposed resist. With wet chrome etching, this resist exhibits exposure latitude similar or better than OCG-895i, benefiting from the fact that over-exposure is required to compensate for etch undercut when using a negative resist. Sub-micron resolution has been achieved with good linearity. CD control is marginal, due to a strong CD sensitivity to PEB temperature. A modified PEB process demonstrates improved CD control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Product quality and timely delivery are two of the most important parameters in determining the success of a mask manufacturing facility. Because of the sensitivity of this data, very little was known about industry performance in these areas until an assessment was authored and presented at the 1993 BACUS Symposium by Larry Regis of Intel Corporation, Neil Paulsen of Intel Corporation, and James A. Reynolds of Reynolds Consulting. This data has been updated and will be published and presented at this year's BACUS Symposium. Contributor identities will again remain protected by utilizing Arthur Andersen & Company to compile the submittals. Participation was consistent with last year's representation of over 75% of the total merchant and captive mask volume in the United States. The data compiled includes shipments, customer return rate, customer return reasons from 1988 through Q2, 1994, performance to schedule, plate survival yield, and throughput time (TPT).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The methods of photomask cleaning used by the mask makers have been derived from the developments in the semiconductor industry. The understanding of the science of wafer cleaning has advanced significantly in the last decade. However, the past requirements for mask makers have not necessitated an in-depth study of the surface phenomena that dictate the mechanisms for particle adhesion and removal. A survey of the understanding developed in the wafer industry was conducted. Applications relevant to mask making have been identified. Based on this study, areas for future investigations in mask cleaning technology have been recommended.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Soft reticle defects which print to the wafer are of concern to wafer fabs because of their potential impact on wafer yields. The final mask shop inspection is commonly performed on a laser scattering inspection system. The most common soft defect test reticles in use for evaluating sensitivity of these inspection tools do not represent thin flat transparent contaminates. To address this problem, the Orion test reticles have been designed and built with programmed thin flat transparent soft defects for evaluating sensitivity of inspection systems. The Orion test reticles were inspected with laser scattering and STARlight inspection systems. Results indicate fundamental problems with defect sensitivity and pattern false defects on laser scattering inspection tools. Defect printing experiments demonstrate that the thin flat transparent defect type selected for the Orion reticle design is critical to detect because it prints to the wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Maintaining the integrity of a pelliclized photomask during air shipments can be very challenging. Changes in air pressure in the cargo area or cabin of an airplane can cause the volume of air under the pellicle membrane to expand or contract. Pellicle membranes can be damaged or even break under too much stress. Some evidence has suggested that particles may develop in the critical area in the pellicle cavity during shipment. Pellicle suppliers have developed several different methods for equalizing pressure differentials between the pellicle cavity and the surrounding environment. This paper surveys and characterizes the different methods used to relieve pressure differentials on pelliclized photomasks. The effect of membrane expansion on membrane quality and defect formation is also studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In 1988 the leading cause of mask returns was the presence of soft defects with a return rate of about 3%. By the end of the first quarter of 1992 the rate of return for soft defects was less than .3%. This dramatic increase in mask quality with respect to contamination coincided with widespread implementation of inspection equipment and more stringent manufacturing methods. There is a strong indication that by using inspection equipment to find contamination problems, the mask builders were able to adjust their processes to achieve maximum yield and a minimum rate of mask returns. The rate of return has remained at a steady level since the beginning of 1992 which suggests that to improve further, and to keep pace with more stringent defect specifications, more advanced inspection techniques and pelliclization processes are needed. This presentation outlines the implementation of an advanced automated pelliclizing system with integrated inspection of both the reticle and the pellicles. Improved inspection techniques to meet the demands of complex photomasks are discussed. Emphasis is placed on the ability to inspect the mask and pellicles at critical points of the process so that process control can be established.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With i-line steppers and 0.5 micrometers lines the line size error due to the optical proximity effect is shown by simulation to be 35% - 60% of the CD error budget. Correcting for the error with individual feature biasing requires potentially thousands of line size measurements. Measuring only the isolated line or the equal line/gap pattern is insufficient. A minimal test pattern consists of 108 iterations of the dimensions and spacings of a three line pattern. Experimental measurements from this minimal set can be combined with simulation by anchoring and reshaping the more detailed simulation data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The feasibility of large scale optical proximity correction with a focus on mask manufacturability is demonstrated on the support and logic gates of a leading edge 64 Mb DRAM chip. Analysis of post reactive ion etch SEM data of the 500 - 600 nm, DUV exposed gates indicates two major contributors to across chip line width variation: first order proximity, that is, the minimum spacing to the nearest neighboring structure, and local area density or pattern loading. Data presented show a very long range (approximately equals 1 mm) impact of pattern density on post reactive ion etch line widths, favoring optical proximity correction approaches that are not based on biasing patterns to compensate for these effects. In this project, pattern density induced effects were alleviated by homogenizing the pattern loading across the chip to approximately 50% instead of biasing the gate structures to compensate for pattern density differences. Proximity induced effects were compensated for with a one- dimensional, single parameter (distance to nearest neighbor), four bucket proximity correction routine with a strong focus on mask manufacturability. Even though the unbiased 64 Mb DRAM gate level challenges mask makers with 480 MB of MEBES data, the optical proximity corrected mask posed no substantial post-processing, writing, or inspection problems in IBM's Burlington, Vermont maskhouse. A very significant 80% reduction in post reactive ion etch across chip line width variation was achieved with this corrected mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A key requirement for any proximity correction method is the ability to accurately predict proximity effects for any given circuit configuration. In this paper we present a methodology for characterizing proximity effects from measurements taken on a processed wafer. The characterization will determine what types of effects are present, which effects can be corrected, and it will quantify behavior parameters for a generalized proximity error model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The optimized design and fabrication of optical proximity correction (OPC) masks with serifs have been described for the application of mask ROM programming layer, which has 1 micrometers square patterns with 0.6 micrometers separation on a g-line stepper with 0.45 numerical aperture (NA). We have optimized the trade-off among the optical correction effects, practical mask fabrication problems, and inspection problems. Firstly, to obtain the sufficient correction effect on the topographic substrate, we have executed not only the simulation and experiments on the flat substrate, but also the experiments on the topographic substrate. Secondly, from the practical mask fabrication considerations we fixed the rules that the size of serifs must be larger than 1.0 micrometers square and the minimum separation width of mask patterns must be larger than 1.5 micrometers on 5X reticle. Thirdly, to maximize the detection capability of mask defects and to minimize the detection of false defects, we have fitted the fabricated mask patterns to the designed data by optimizing the electron dose.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, the distortion signature of an Ultratech 2244i lens was measured using an advanced registration measurement system. A correction for this distortion signature was applied to the design database and a mix-and-match test reticle fabricated. In order to quantify the effectiveness of this technique, a mix-and-match overlay study was performed using the same Ultratech 2244i and an advanced 5x reduction stepper. Overlay experiments were performed using both corrected and noncorrected reticles on the Ultratech system. An automated metrology system was used to collect overlay measurements distributed over the entire lens field area. Detailed analysis of the lens intrafield component of the overlay error using both reticles illustrates the advantages of applying reticle distortion corrections.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternative type phase shift masks have a great effect on the improvement of resolution and DOF. Through the practical use of them, it is also possible for the i-line to have a 0.3 micrometers design lithography. However, it is yet to be used on a practical level due to the lack of the capability to repair shifter defects, and the difficulty in meeting certain required wafer characteristics. Embedded type phase shift masks are supposed to be able to reach a production use level more easily. This is because the embedded type does not require a transparent shifter layer and defects may therefore by repaired more easily. Toppan defines alternative type phase shift masks as essential technology for 0.3 micrometers lithography, and the embedded type as a technology necessary for use in the early production of 0.4 micrometers - 0.35 micrometers design rule and asic. We would like to introduce our updated improvements of alternative phase shift mask performance, and embedded phase shift mask patterning technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An attenuated phase shift mask (APSM) for DUV exposure using a chromium fluoride film as an embedded phase shifter is developed. The chromium fluoride film is deposited by the conventional dc reactive sputtering process using gases such as CF4 or SF6 as the fluorine source. This film can be dry-etched by the chlorine chemistry, so that an etch into the quartz plate, which causes phase error, is negligible and high accuracy phase shift control can be achieved. Furthermore, this film shows a transmission of ca. 15% at 248 nm as a single- layered embedded shifter deposited on the quartz plate (100% for air), and therefore, in combination with an opaque chrome layer, various transmissions up to ca. 8% can be obtained without changing the shifter material. Promising properties as a practical shifter material are confirmed, and an exposure test on 2.5 micrometers and 3.0 micrometers hole patterns, using an APSM with a trilayer shifter, where an opaque chrome film is sandwiched by two chromium fluoride films, shows that the focus latitude can be extended compared with conventional chrome masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Attenuated embedded phase shifting photomask technology can improve lithography performance for both g-line and i-line steppers. Emphasis at i-line is shifting from development to production as lithographers integrate phase shifting masks into their processes. This paper describes pilot production of i-line and g-line, Cr-based, attenuated embedded phase shifter photoblanks and photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Scatterometry is presented as an optical metrology technique potentially capable of determining the critical parameters of a phase etched diffraction grating test structure (sidewall profile, etch depth, and linewidth). The technique is noncontact, rapid and nondestructive. The test grating structure is illuminated by a laser beam and the intensities in the different diffracted orders are measured as the angle of incidence of the laser beam is varied over a certain range. A phase shift mask consisting of an array of chrome and chromeless phase etched gratings was fabricated at AT&T Bell Labs using e-beam techniques. The grating linewidths varied from nominal 0.5 micrometers to 5.0 micrometers , while the etch depths varied from a nominal 190 nm to 400 nm depths. Both the chrome and the quartz gratings were measured, although only data for the quartz gratings is presented here. The measurements of the diffracted orders were made using the two theta scatterometer located at the University of New Mexico. The shape of the diffraction curves obtained in this manner has been shown to be sensitive to the grating structure parameters (sidewall profile, etch depth, linewidth, etc.). An estimate of the quarts phase etched structure parameters was obtained through a combination of rigorous coupled wave theory (CWT) and minimum mean square error (MMSE) analysis. Additionally, each grating was measured using an AFM located at AT&T. Comparison of the scatterometer and AFM measurements are presented along with their absolute differences. Finally, the long term and short term repeatabilities of the scatterometer measurements are shown to be excellent.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Laser repair is generally used to repair opaque defects on reticle because it can remove them without any damage. However, it doesn't have enough repair accuracy to repair next- generation reticles. So, we examined the shapes and optical intensity of the repaired areas to understand the damage that focused ion beam (FIB) would cause to these areas comparing laser repair and to apply it to practical reticle production line. From this study, it was shown that FIB forms deep V-grooves which cause changes in optical intensity on the repaired quartz area as a result of spattering and laser repair forms a smooth dent on the contrary. Therefore, a new opaque defect repair technique by FIB with gas assisted chemical etching system must be developed. In this paper, we address the results of metrology study of the repaired area by FIB and laser repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Yield models have been successfully employed in wafer fabricators to provide data on yield learning, design for manufacturability, and the estimation of production cost for semiconductors. This paper describes how a yield model can be developed to provide important technical information for mask-making in the semiconductor industry. This information, focusing on manufacturing line loading strategy and customer charges, is different from that provided by wafer fabricators' yield models, however the underlying goal is the same: to estimate accurately the expected yield for a part produced on the manufacturing line. If this estimation is not done accurately, there can be serious cost and serviceability implications. The premise here is to categorize parts based on their expected yield (derived from the yield model) which is, itself, a function of how difficult they are to build. This model was developed using logistic regression analysis on historical data. Logistic regression has been used most commonly and successfully in epidemiological research where, for instance, the risk of an individual developing a certain type of cancer is modeled as a function of personal characteristics. methodological details of yield model development and performance monitoring are presented as well as a specific example.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With a 325 nm confocal microscope including a 0.95 N.A. lens and special threshold algorithms, linewidths to 0.25 micrometers and below are easily imaged without special preparation or harm to the sample. The enhanced resolution of a 325 nm laser system provides a point resolution of approximately 0.2 micrometers . This resolution is achieved by the tightly focused beam and the symmetrical arrangement of the laser and photomultiplier system. The point resolution of a confocal microscope is about 30% better than a conventional scope. In this new study, we have developed a unique 2-stage calibration technique to extend the range of the 325 nm confocal microscope below 0.2 micrometers and were able to use the system to optimize a new 0.25 micrometers lithography process. This paper presents data that qualified at 0.25 micrometers linewidth wafer process using a SiScan IIATM confocal laser microscope (now offered by Optical Associates, Inc.) with a 325 nm imaging laser and correlating the results against other instruments. This was a single layer process where the metrology resolution and precision were shown to be below 5 nm, 3 sigma. Very repeatable results were obtained when using bottom focus and center out measurement algorithms. In addition to wafers processing, this system is an excellent metrology tool for reticles and photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of interrupt develop has been reported to enhance the contrast and resolution of novolak resist systems for e-beam lithography. Experience with interrupt develop has demonstrated the ability to control sensitivity and contrast independent from the exposure dose. High contrast and flexible sensitivity are primarily due to the interaction of induction effects of the novolak resist and the length of the develop step. The induction effect is primarily dependent on absorbed energy in the resist. Understanding the distribution of absorbed energy in the resist allows use of the induction effect to control resist profile and image size. A sidewall passivation phenomena results from interrupting the develop process. The passivation enhances the directionality of develop, yielding additional resist profile control. Three diazonapthoquinone novolak resists have been implemented for the fabrication of x-ray masks. During implementation of the resists, the molecular weight of the base resin was found to have a major influence on contrast and image quality. The results show the resolution and image size control achieved using systematic interrupt develop processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The most significant contribution to uncertainty in the measurement of photomask linewidths is the rough shape of the edge of the etched chrome lines. This uncertainty can be greatly reduced if the emulated stepper aerial image of the feature is measured instead of its geometric linewidth. That is: measure what the photomask does, not what it is. Phase-shift and other kinds of mask can be measured in the same way.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Increased lithographic performance has been the key enabler for the continued reduction of minimum device feature sizes down to 0.25 micrometers and beyond. However, this increase in performance has been accompanied by the added fabrication complexity of the various types of lithographic reticles. In addition to having submicrometer sized features, advanced optical masks are three dimensional in nature with high aspect ratio features of different materials in close proximity to each other. Lithographic process latitude, which determines the ultimate feasibility of that process, is critically dependent upon the level of measurement and control of these mask features. Standard reference materials are needed in order to improve the accuracy of the mask measurements, but do not yet exist. To initiate progress in this area, a set of test reticles has been fabricated to serve as in-house calibration standards and to study various phenomena affecting three-dimensional submicron dimensional metrology for advanced optical masks. The first member of the set, known as the Lateral Resolution Tester (LRT), contains chromium features on unetched quartz (opaque and partially transparent) having linewidths as narrow as 200 nm. The PSM Feature Tester contains many types of phase-shifting mask patterns with varying lateral dimensions. The Herschel Tester contains various phase-shifting apertures of different depths and widths. All of the patterns and concepts used in the set have been brought together in order to produce a new PSM metrology test/calibration mask known as the PSM Round Robin Reticle (RRR). The types of patterns as well as the techniques used to measure them are presented. The RRR will also be used as the test vehicle for a round robin comparison of measurements taken with metrology tools at different mask shops and to determine optimum designs for future PSM metrology calibration standards.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several charge dissipation materials were evaluated for their ability to improve the overlay accuracy during phase shift mask (PSM) registered writing on a MEBES system. These included an organic conductive polymer and a number of thin inorganic films, which were applied above or below the resist on a coated mask. When used with the resists, all conductive materials evaluated were capable of providing adequate charge dissipation during registered writing. Overlay accuracy of mean + 3 sigma <EQ 0.07 micrometers was obtained in both axes. The water-cast conductive polymer was found to be the easiest to use.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resolution enhancement techniques have been explored extensively in the last few years to reliably extend optical lithography to smaller features. In fact, remarkable depth of focus and resolution enhancements have been achieved for certain types of features. However, proximity effects can render these enhancements irrelevant because they can cause such severe linewidth changes that even in-focus lines are incorrectly sized. Other researchers have attempted to solve this problem using a wide variety of different approaches. Their methods have the common disadvantage that they try to correct all aspects of every pattern on the mask when it may be necessary to optimize only a small subset of patterns. Our technique, pattern recognition with polynomial corrections is developed for correcting only certain patterns. The development of this system led to several important results. First, a simple local bias system, which was developed to independently bias small patterns, shows that bias solutions quickly converge in a few iterations. Also, increasing the complexity by more finely dividing the edge segments does not increase the bias time for the patterns. The second important insight is related to the pattern recognition system. As in the other techniques, a proximity window is moved through the layout. However, in the pattern matching approach, a pattern matching zone within the window is extracted and used to find the corresponding pattern in the pattern library. The bias of the peripheral features outside the pattern matching zone, but within the proximity window are incorporated into the bias for the pattern by way of an inter-feature proximity correction polynomial. An important insight discovered with regard to the correction function is that the peripheral features can be subdivided into pixels whose bias contributions can be linearly superimposed to give an accurate approximation to the bias of the main feature.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ULCOAT has developed an attenuated phase shifting mask blank which has entered the production level for i-line blanks, with 180 degree(s) shifting angle and 5% to 20% transmittance. A single layer of MoSiON is employed as the phase shifter. Its simple structure enables good repeatability and stability in the mask making process. This single layer has 5% to 8% transmittance, with 180 degree(s) shifting angle at the deep ultra violet level (KrF Laser). However, it cannot be inspected at 488 nm (the wavelength of a popular pattern checker), due to more than 40% transmittance at that wavelength. Therefore, for deep ultra violet level work, a multi-layer type of MoSiON has been developed by ULCOAT, which achieves less than 40% transmittance at 488 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have explored two-level, or `twin' masks as a mask-based means of increasing depth of focus. Simulations have shown that the technique offers substantial gains for a variety of pattern types. To verify this experimentally, we have fabricated a test mask containing two- level as well as conventional mask patterns. We have performed through-focus series of photoresist exposures and demonstrated the expected improvement in focus latitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced Lithography Masks for Gigabit Generations: Technology Requirements and Approaches
This paper sets a framework for those which follow. The National Lithography Roadmap has been enhanced in 1994 to include a systems approach to lithographic requirements. The lithography specifications at the wafer level which will be required in the manufacture of gigabit chips are described with an emphasis on critical dimension tolerances and overlay. These critical needs place stringent requirements on the total system. The National Roadmap is discussed with an emphasis on the interaction between wafer level measurements and the lithographic system requirements necessary to achieve them.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Semiconductor Industry Association (SIA) has identified a fifteen year roadmap of lithography requirements for gigabit device generations and beyond. Several lithography methods have been identified to meet the projected overlay, critical dimension control, and defectivity targets. Error budgets are useful in determining the overall capability of a new technology based on sub-component performance. Baseline error budget models used by the SIA Lithography roadmap committee are presented to highlight critical technology improvement areas, with emphasis on current and future mask capabilities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography systems for sub-0.25micrometers designs will probably require masks with very high data content, small feature sizes, and extreme accuracies. Moreover, the mask substrates themselves will probably be exotic, compared with today's quartz blanks. We examine the requirements set forth in wafer lithography technology roadmaps and the published characteristics for proposed lithography tools to extrapolate to the mask pattern generation requirements. We then examine the implications for the maskmaking tools in the year 2000. These requirements lead to a discussion of the Etec Excalibur e-beam mask writer program. Finally, we comment on the prospects of an e-beam direct write technology applicable to the year 2000 production requirements and discuss the potential of some architectures proposed in the literature. We intend to show why radical innovation will be required above and beyond what has been disclosed to date.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme UV lithography offers the possibility of printing CDs of 100 nm and smaller with a reduction tool. Because of the wavelength used, the optics have to be all-reflecting. The tool depends on multilayer coatings for the mirrors. A laser-produced plasma is being developed as a granular source. The mask also has to be reflecting. Top surface imaging resist will be used. The work here described is being performed in a National Program set up by the U.S. Department of Energy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Steven D. Berger, Christopher J. Biddick, Myrtle I. Blakey, Kevin J. Bolan, Stephen W. Bowler, Kevin J. Brady, Ron M. Camarda, Wayne F. Connelly, Reginald C. Farrow, et al.
We have proposed an approach to projection electron beam lithography, termed the SCALPEL system, which we believe offers solutions to previous problems associated with projection electron beam lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
James Alexander Liddle, Myrtle I. Blakey, Kevin J. Bolan, Reginald C. Farrow, Linus A. Fetter, Leslie C. Hopkins, Harold A. Huggins, Herschel M. Marchman, Milton L. Peabody Jr., et al.
The concept of a mask for a projection electron-beam lithography, based on the difference in scattering between two electron transparent materials -- SCALPELTM, has been demonstrated previously. In order to translate this initial proof-of-concept int a mask suitable for a real lithography system, it is necessary to address a large number of issues. Because of the thin membranes employed, the design of the mask, its fabrication, robustness and dimensional stability are critical issues. Cleaning and repair strategies are also affected by the mask structure. Patterning, inspection and metrology are also vital to the production of a viable mask, but these are areas of importance common to all advanced lithographies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.