PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
As the requirements for photomask linewidth control continue to tighten, the necessity for performing proximity correction for electron beam mask exposure will increase. GHOST proximity effect correction is one method that can be used to ensure that critical dimension linearity over a large range of feature sizes meets mask user requirements. The GHOST strategy uses an additional exposure to correct for the backscatter component of the primary exposure. Because of the way the image using a GHOST correction is constructed, image contrast will be lower than exposures done without GHOST. This paper uses simulation to examine the process window that is available when GHOST is used and this process window is compared to that without GHOST. The effect of resist contrast on the process window is examined by simulating ZEP 7000 resist and comparing it to resists with other contrasts. The effects of dose, develop time, data bias and spot size on the process window are also examined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
JEOL has developed an E-Beam lithography system JBX-9000MV with a vector scan and variable shaped beam (VSB) electron optics for the manufacture of 180nm - 150nm devices masks. This system employs 50kV accelerating voltage, low space charge effect column and in-lens deflector system. Beam current density is 10A/cm2, maximum mask size is 230 mm (9'), beam address size is 2 nm. Extended evaluation of the system shows pattern placement accuracy of 30 nm or better, field stitching accuracy of 20 nm or better, critical dimension (CD) accuracy of 20 nm or better.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
CD uniformity is one of the most critical parameters for mask making today. The mask error factor (MEF) in lithography for features that are smaller than the stepper wavelength means that any CD error is transferred to the wafer to a greater extent than the stepper reduction factor would indicate. CD results form a new laser pattern generator, the Omega6000 product line, will be presented. The system features an acousto-optic deflection architecture specifically designed to meet the CD requirements of 180 nm photomasks. A 0.86 NA final lens provides the high resolution of the system. The CD control and the high resolution makes the system well suited for today's advanced photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Jan M. Chabala, Frank E. Abboud, Charles A. Sauer, Suzanne Weaver, Maiying Lu, Henry Thomas Pearce-Percy, Ulrich Hofmann, Matthew Vernon, Dinh Ton, et al.
This paper describes improvements in column design and writing strategy that, together, enable mask production for the 130 nm technology node. The MEBESR 5500 system employs a new high-dose electron gun and column design. We summarize experiments relating lithographic quality to increased dose and the effects of spot size and input address on lithography. These experiments are performed with ZEP 7000 resist and dry etch. A new graybeam writing strategy, Multipass Gray-II (MPG- II), is described in detail. This strategy creates eight dosed gray levels and provides increased writing throughput (up to 8X, compared to single-pass printing) without loss of lithographic quality. Significantly, critical dimension (CD) uniformity, butting, and other important specifications are improved with MPG-II. Lithographic results and throughput data are reviewed. A consequence of the improvement in CD control and throughput is greater productivity for 180 nm devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modifications have been made to the ALTAR 3500 system to improve critical dimension (CD) control in three ways. First, the mean-to-target performance has been improved by increasing the repeatability of the measurement of optical efficiency, thereby more precisely setting the dose delivered to the photoresist. Second, the compressed dry air (CDA) used by the focus subsystem has been replaced with pressurized air drawn from the print head of the writing system. By using the humidity-controlled air from the print head, the water content of the photoresist is not affected by the focus subsystem. As a result, variability in CD uniformity that is dependent on some aspects of the pattern size and density are eliminated. Other pattern-dependent CD uniformity issues arising from process effects are also addressed. Finally, an option to allow the system to print with eight rather than four averaging passes has been evaluated. With an increased averaging of errors, improvement is seen in several performance parameters, particularly stripe butting, CD uniformity, and composite overlay.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
I-line optical pattern generators using non-chemically amplified resists have become the workhorses for high throughput mask fabrication. The demand for smaller and more uniform features on photomasks has driven the development of a 257 nm optical pattern generator. A non-chemically amplified resist is being developed to maximize the performance of this new 257 nm mask tool. Resist characterization and lithography simulation are being used to formulate a non-chemically amplified resist for 257 nm optical pattern generators. Non- chemically amplified resists are advantageous for us in mask fabrication due to their storage and post-exposure stability. Chemically amplified resists may provide higher performance but they also require environmental mini-environments and a post-exposure bake equipment not commonly present in mask houses. Diazonaphthoquinone (DNQ)/novolak resists have not been used for DUV Integrated Circuit (IC) applications mainly due to the low sensitivity and the strong absorbance of the DNQ photoactive compound (PAC) at 248 nm. However, a 2,1,4 DNQ based resist has been characterized that bleaches at 257 nm and inhibits novolak. The photoproduct of the 2,1,4 DNQ PAC is much more transparent at 257 nm than 248 nm. Novolak resin is too strongly absorbing for use in formulating efficient 248 nm resists, but novolak has an absorbance minimum at 257 nm that provides transparency similar to poly (hydroxystyrene). Lithography simulation is being used to develop a non- chemically amplified resist to minimize the expensive iteration of manufacturing trials. An exposure system using a 257 nm frequency double Ar laser system has been constructed to study the resist photokinetics. Dill exposure parameters (A, B and C) have been extracted for a 2,1,4 DNQ/novolak based resist. Dissolution rate measurements have been made with a DRM developed at the University of Texas at Austin. Simulation is used to determine the optimal resist absorption, bleaching, dose and dissolution properties to maximize resolution. It is possible to formulate a high performance resist for 257 nm if care is taken in optimization of the formulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of Plasma Etch in patterning Binary Cr layers for modern reduction reticles has seen dramatic increase in the past two years. The drive towards the 0.25 micrometer and 0.18 micrometer technology has rendered wet etch of Binary Cr inadequate for the demanding gate level designs of most advanced devices. The use of dry etch for these patterns is studied closely through the pattern loading within a mask (Exposed Cr Load). It has been seen that Cr Load strongly affects several plasma etch responses, e.g.: resist selectivity, Cr etch rate, overall CD Uniformity and within- Mask CD Uniformity pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Process optimizations have been done to produce 'Fine Pattern' reticles whose minimum target sizes are under 720 nm. 'Zero Bias' process for binary Cr reticles can be achieved with our dry etching process using ZEP-7000 blanks. MEBES-4500 exposure on resist films of 300 nm and dry etching with Magnetic Enhanced Reactive Ion Etching (MERIE) system are adopted. It is shown that adjusted develop condition weakens thinning effect of resist in sub-micron area due to proximity effect of exposure, and MERIE system with Gas Assist Etching (GAE) also improves pattern resolution. CD shift due to 'Loading Effect' is small and resist patterns are perfectly printed as Cr pattern with negligible deterioration of CD linearity. Much improved CD distribution in the area of 132 mm square can be obtained. In production of MoSiON-based attenuated-PSM (Att- PSM), CD shifts between Cr and MoSiON except OPC patterns (such as serif and scattering-bar) can be estimated about 30 nm. It is also shown that there are few defects after dry etching, and 'Zero Defect' reticles are obtained in most cases. Through the all results, validity and probability of our process to produce 'Fine Pattern Reticles' near the half- micron are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Decreasing feature sizes combined with high mask error enhancement factors (MEEF) are rapidly causing tighter defect and CD uniformity specifications on photomasks. In general, dry etching photomasks improves feature fidelity but also tends to increase defectivity. Since the first automated mask defect inspection usually occurs after chrome etch, it is difficult to determine if a defect originated with the photoblank or during one of the mask patterning steps (write, develop, and etch). To understand and optimize the dry etch process, After Develop Inspection (ADI) has been developed to isolate the cause of photomask defects. In this study, ADI was used to inspect Cr photomasks incorporating iP3600 and ZEP7000 resists at several thicknesses. The detected defects were analyzed and compared to defects found after etch. A test mask with programmed defects was also created and tested to characterize the sensitivity of this new capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Manufacturing of reticles, which combine both OPC and PSM, is becoming more and more challenge. Materials cost is high, several accurate writing processes are needed and repair is almost impossible. This makes inspection a critical and very complicated process. This study describes an inspection of a test vehicle consisting of 55 cells targeted for sub- wavelength design rule technology. This study describes an inspection of the 55 cells test plate targeted for 0.17 micrometer design rule technology. The plate is written on a MoSi layer with 18% transmission for 248 nm lithography. The MoSi has higher transmittance in I-line and G-line that reduces the contrast between the MoSi and the glass (relative to the usual contrast in binary plates). The technique for inspection by Applied Materials RT8000ES 436nm die-to-database is described. The technique is based on expansion of the reduced dynamic range of gray level that results from the lower contracts, re-gaining the inspection capability. This paper reviews the results of G-line versus I-line inspection of high transmission PSM and describes the method of the sensitivity verification including CD defects analysis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternating Phase-Shift Mask (Alt-PSM) is one of the key technologies for 0.15 micrometer or below rule device fabrication. But it is not yet widely utilized because of difficulty on phase controllability and defect controllability. Through more than 7 years at our commercial base operation and feedback from customers, we have improved our Alt-PSM both on its performance on wafer resist image and defect minimization. We have focused on the two elements, defects detection and repair, that made it difficult to control defect quantity on Alt-PSMs. In this paper, we describe results of experiment and optimization method that aims to assure zero defect on Alt-PSM for DUV lithography. We prepared evaluation plates. The plates contain series of programmed quartz defect on 0.6 micrometer line & space, each has phase errors of 60, 120 and 180 degrees at KrF wavelength. We used several latest models of inspection tools to evaluate phase shift quartz defect detectability, which are KLA353UV, STARlight, 9MD84SR(i). Micrion8000 was used as the repair tool. MSM-100/AIMS was used to evaluate wafer CD error of defect area before and after repair. As results, we found that inspection by short wavelength, especially by 9MD84SR(i), was effective for detection of phase shift defects, and that if this method were combined with STARlight inspection, detectability of the phase shift defect would be improved. With combination of this inspection method and our FIB repair, which is optimized for premeasured height of each phase shift defect by use of AFM, we would be able to supply zero defect Alt-PSMs for 0.15 micrometer design rule devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to perform mask inspection with the high reliability for 150 nm-rule and below devices, the inspection system with high resolution is indispensable. The phase shift masks like DUV HT masks must also be inspected with high sensitivity. A next generation mask inspection system MC-3000 which used DUV optics has been developed, in order to achieve these requirement. The wavelength of this optics is 257 nm that is shorter than that of current UV inspection systems, and is nearly equal to that of current DUV lithography systems. Short wavelength light and high NA optics obtain high resolution, so the defect detection of 130 nm or less is attained. The special issues for the DUV optics were solved by several new techniques. This paper reports the system configuration, basic characteristics for defect detection and inspection performances.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The manufacturing implementation of alternating aperture PSM's (AltPSM) has been gated by the impacts these techniques have on reticle manufacturing, specifically reticle defect inspection and repair. Die-to-die inspection techniques have been achieved for some clearfield multiphase alternate phase reticles, but the required die-to-database solutions are not currently available with defect inspection systems. In response to these mask manufacturing issues and IC design layout issues, resolution enhancing techniques based on Darkfield Alternate Phase (DAP) reticle designs are now of growing importance. A DAP Programmed Evaluation Reticle, DAPPER, was fabricated and inspected on a new high numerical aperture ultraviolet reticle inspection system. The results show reasonable defect sensitivity performance in the presence of both reticle geometry and quartz etch topography characteristic of 130-nm node advanced logic circuit DAP reticles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As DUV lithography becomes more ubiquitous in the manufacture of semiconductors, the importance of detecting mask anomalies that can be attributed to the exposure of mask materials to 248 nm exposure becomes necessary. The requirement to find and eliminate the sources of these types of defects becomes even more important with low k1 lithography. The authors wish to report a new class of defects that can significantly impact mask performance and semiconductor chip manufacturing yields. This paper will discuss the techniques and defect detection systems used to identify the presence of these sub-pellicle (or pellicle-related) defects. Additionally, the mechanism of defect formation and micro-analytical results identifying both the composition and possible sources of the defects will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask Metrology, Mask Error Factor and Specifications
Low-k1 lithography requires enhancement techniques like phase shift and OPC. These techniques impose new and challenging specifications on photomasks. A development to establish means and methods to verify corner rounding, line end shortening, defect printability and the size of jogs, serifs and assist lines in a production worthy manner is based on the assessment of mask production data through a new cluster software tool which combines the output data of a mask defect inspection system, a CD metrology system, an AIMS based mask review station and printing simulation results. Possible definitions of new type photomask quality criteria are discussed and measurement procedures are proposed. As a key application the review of critical features on reticles (OPC, classical defects, contact printability, etc.) at changing stepper conditions ((lambda) , N.A., (sigma) ) is discussed. The concept and the development status of a Photomask Qualification Cluster is presented and early performance results are examined against the target values which are a defect detection sensitivity of 125 nm, optical resolution of 200 nm lines for assist line assessment, CD measurement on lines, contacts and OPC structures with 5 nm repeatability and mask pattern fidelity assessment at printing conditions down to 500 nm lines at reticle level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Mask Error Enhancement Function (MEEF) serves to amplify reticle errors. This can lead to proximity effects and bias problems that are much larger than would be expected from the normal reduction factor of the imaging system. The economic impact on reticle specifications can be severe. This paper examines the theoretical description of the MEEF for dark features: isolated lines, isolated posts, and dense 1:1 line/space features. MEEF for dense features is found in general to be smaller than 1 over a wide range, while MEEF for isolated features is always greater than 1. This 'MEEF Gap' between isolated and dense features may help to explain the sensitivity of OPC to isolated and dense bias.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep Ultraviolet Lithography is slowly gaining widespread use. Although the introduction of 248 nm excimer laser steppers and step&scan systems has been slower than anticipated, all of the advanced wafer fabs are now using two of the three technology platforms in mix-and-match with I-line steppers or scanners. But the aggressive acceleration of the roadmaps, both for device technology as well as for equipment, brings some new and challenging questions into maskmaking, one of the key segments of today's IC manufacturing. Long having been in the discussion as one of the potential roadblocks, we are rapidly approaching the limits of manufacturability, and new ways to write, process, inspect and qualify a mask need to be developed. Although a lot of different efforts are under way, the area that still leaves the most doubts in the end user is mask qualification: does the mask meet the CD requirements, and is it free of any printable (CD) defects? This paper will give an insight on new tools that will be needed to help fully characterizing a mask, and will also try to investigate some of the printability concerns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With increasing mask error enhancement factors (MEEF), detecting and analyzing photomask critical dimension (CD) uniformity errors is critical for understanding how photomasks can be manufactured to afford high wafer yields. Using UV pattern inspection tools, recent improvements in automated inspection algorithms can now provide CD error detection below 50 nm. This level of sensitivity is necessary in order to provide a clear picture of the reticles' contribution to the final wafer image and possibly function. However, dispositioning CD errors less than 100 nm is very challenging at defect review which has previously resulted in misclassification of true CD errors. Classifying very small errors require the high precision and resolution of a metrology tool. A process has been developed for detecting very small CD errors with a UV inspection tool and the coordinates of areas of concern transferred to a CD SEM for sizing, review and disposition. In this study, we have characterized the sensitivity and false defect performance of a new algorithm with production masks and a new programmed defect test mask. The inspection results were transferred to a CD SEM for analysis. SEM measurements were taken to validate the sensitivity of the algorithm and to quantify the calibration accuracy of the review tools of the inspection system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the photolithographic process, critical dimensions (CD) of exposed features in photoresist need to be controlled to within a specified tolerance related to the nominal feature size. A portion of this tolerance budget is consumed by variations in CD on the photomask. At low k1 factor, a number of parameters in the lithography system impact linearity including lens aberrations, defocus, exposure, partial coherence, and photoresist contrast. The combined effect of these parameters is that errors in the mask CDs are not transferred to the wafer in direct proportion to the optical reduction value of the lithography system. This Mask Error Factor (MEF) becomes a significant problem as it consumes a larger than anticipated portion of the CD tolerance budget. This paper will discuss experimentally evaluated MEF using a 4X i-line stepper for a range of feature sizes from subwavelength to approximately twice the exposure wavelength. A test reticle was built with isolated lines from 200 nm to 600 nm in 12.5 nm increments at 1 X. CD measurements on the reticle were compared to corresponding CD measurements on the wafer in order to establish both linearity and MEF curves for the lithography system. MEF values were also determined across a process window for multiple feature sizes. The MEF was observed to be less than 1.4 for CDs greater than 330 nm (k1 equals 0.5) throughout the process window. However, the MEF rises rapidly to over 3 for CD values smaller than 300 nm (k1 equals 0.45) at nominal focus and exposure. Changes in exposure were not observed to have a noticeable impact on MEF while focus offsets were observed to result in significant increases in MEF. These results indicate that MEF has a much larger impact on focus latitude than on exposure latitude. As a result the process window will be compressed more in focus than in exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
System architecture choices for an advanced mask writer (100 - 130 nm) have been evaluated. To compare and contrast variably shaped beam vector architecture with raster-based architecture, factors such as beam accelerating voltage and its effects on lithographic performance and system throughput for complex patterns have been studied. The results indicate that while both architectures have strengths and weaknesses, in the final analysis, raster-based systems offer the best combination of benefits to the user in terms of versatility and overall system throughput. Furthermore, other system requirements needed to support the challenges of the next generation mask writers are discussed. An architecture that includes a 50 kV raster graybeam (RGB), based architecture, a new writing strategy, a new stage system, an advanced environmental/thermal control management system, an automated material handling system, and a new resist and process is proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Standardizing on reticle size is critical for semiconductor tool manufacturers and the semiconductor industry as a whole. The advantages of large reticles are well known: larger die and increased throughput. Although predictions of extremely large die have not yet been realized, the throughput implications remain valid. As large reticles have the potential to increase throughput, some proponents view them as potential cost savers. This work examines the implications of migrating from today's standard 6-inch reticles to 9-inch reticles. It explores the factors that drive reticle cost, and describes why larger reticles should cost more. The paper also describes the cost benefit of implementing larger masks. Comparing the expected cost of building the reticle to the potential cost savings of using the reticle in production provides significant insight into the problem of selecting the optimal reticle size. Finally, the paper presents an analysis of the impact of 6X reduction systems on the selection of reticle size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Membrane masks are commonly adopted for the next generation lithography (NGL) such as proximity X-ray lithography (XRL), ion beam projection lithography (IPL), and SCALPEL. Since the NGL membrane masks have lower mechanical stability than the conventional optical mask, it is necessary to study the distortions of membrane masks during mask fabrication and application. Using various kinds of test patterns such as line and space patterns, contact hole arrays, rectangular island arrays, and square island arrays, the mechanical stiffness changes due to pattern transfer were investigated. The in- plane distortions of the membrane mask due to pattern transfer during mask fabrication are dependent on not only void fraction but also pattern shapes. The membrane masks with various kinds of patterns were fabricated and the in-plane distortions were measured.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Three 4X test reticles for 0.15 - 0.18 micrometer lithography are characterized using a critical-dimension scanning electron microscope (CD-SEM). The reticles, which contain metrology cells of isolated and nested lines, are manufactured with an i-line (365 nm) laser patterning tool and wet etch (reticle A), an e-beam patterning tool and dry etch (reticle B), and an e-beam patterning tool and wet etch (reticle C). We investigate the nature of pattern density-induced CD variations by studying groups of metrology cells with systematically varying linewidths and line spacings. The CD bias between nested and isolated lines is a smoothly increasing function of pattern density on reticles B and C. The CD signatures on reticle B exhibit strong microloading and loading signatures related to the plasma etch process. The bias characteristic on reticle A demonstrates a threshold effect, increasing sharply as the space between adjacent nested lines becomes less than approximately 600 nm. Matching images taken with secondary and backscattered electron spectra support the conclusion that the laser write process is resolution-limited at this pattern density. The SEM data is also compared to data from broad-band optical metrology tools to show that the optical response for dense features becomes unreliable when the feature spacing is less than approximately 600 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we discuss some of the problems and solutions discovered when implementing 2-mask strong phase shifter designs for the poly gate level in logic designs. Experimental results are presented showing pattern fidelity for different reticle designs. Simulations are presented indicating the improvement in pattern fidelity that can be expected from using OPC. Simulations, PSM assignment and model-based OPC correction are performed by the Calibre WORKbench, Calibre DRC, Calibre PSMgate and Calibre OPCpro tools from Mentor Graphics. In conclusion, we show that while fairly simple designs can be used to achieve 250 nm design rules (approximately 150 nm gates), in order to achieve both pattern fidelity as well as small feature size it is necessary to use 3-layer/phase-aware model-based OPC to correct for pattern distortion for design rules of 180 nm and below (approximately 100 nm phase-shifted gates).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New simplified process is developed successfully for KrF excimer half-tone mask with chrome shielding method. Process times are reduced to half compared with conventional process in which resist coating and exposure is performed twice. New simplified process is characterized by adopting half-exposure of electron beam, thin chrome film on a half-tone film, and endpoint detection in dry etching process. It is confirmed in this paper that half-tone masks fabricated by simplified process have mask quality and optical capability, which are required in 0.18 micrometer generation devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Critical dimension (CD) control and resolution requirements of advanced photomasks require a new class of fabrication processes. These include the use of higher contrast resists and low etch bias processes such as plasma etching for patterning chrome films. Previous work has shown that ZEP 7000 resist and ICP dry etching of chrome provide the process latitude needed to meet 180 nm mask requirements and beyond. However, due to the loading effects, the deviation of the CD from the target value is a function of the chrome loading on the plate when using dry etching. Therefore, CD control must occur by varying the exposure dose or the develop time based on the pattern loading of a particular mask level. By understanding the relationships between the change in CD with respect to dose, develop time and pattern loading, models can be created which accurately predict the required parameters to tightly control CD performance independent of dry etch loading effects. In this paper a production process is described which utilizes ZEP 7000 and ICP dry etching. A series of experiments have been run to characterize the change in CD based on both dose and develop time. Then a matrix of experiments were run to determine the effect of pattern loading on CD. A predictive model was generated from the DOE data which accurately predicts the dose and develop time needed to meet the CD targeting requirements for any given mask level regardless of pattern density. The model was then verified on production mask levels of randomly varying pattern density.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The downscaling of critical dimensions (CD) in semiconductor circuits has been pushing photolithography to print features below the wavelength of the light source. However, severe proximity effect and small DOF for isolated lines have brought challenges to sub-0.18 micrometer lithography in manufacturing using 248 nm scanners. To improve proximity effect and DOF for isolated lines, assisting features (AF) on masks are considered. However, the practical application of this technique has been limited because of difficulties in mask fabrication. In this paper, we discuss items that concern both photolithographers and mask-makers as AF is applied in manufacturing. These items include mask error factor (MEF), depth of focus (DOF) improvement, AF line width control, lithographic impact caused by the drift of the mean value of mask CD, defect printability in resist, and defect sensitivity during mask inspection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical proximity effect correction (OPC) now is a prerequisite technique to improve common process windows of the present optical lithography process. It will be also applied to 0.13 micrometer device processes. Although the technology is now widely used, there are still issues to be solved in terms of choice of OPC methods, pattern fidelity specs, software/data processing limitations, mask manufacturing equipments and manufacturing yields with costs. Photomask Japan '99 Symposium (PMJ '99) had a panel discussion this year covering such issues. For 0.13 micrometer generation, critical dimension (CD) accuracy of plus or minus 4 nm on wafer is given for error budget derived from masks, which OPC masks must also achieve. Mask defects with a size of 70 nm must be repaired, which also requires mask repair accuracy of OPC geometry copy features with high performance. As OPC is sometimes applied to the corner part of figure shapes, it is also required to clarify CD specifications for such portions depending on desired pattern layouts. A mask process with zero defects will also be required as a countermeasure of such mask making difficulty. As a consensus, it is also proposed that acceleration of collaboration between device makers, equipment/software makers and mask shops is much required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Image imbalance refers to the phenomenon that printed image sizes are different between etched and unetched openings if the effective phase and transmission of an alternating phase shifting mask (PSM) are not ideal. The root cause of the phenomenon is the presence of a 0-th diffraction order. When the 0-th order interacts with plus or minus 1 orders, depending on location, it constructively or destructively interferes with the ideal sinusoidal fields generated by the plus or minus 1 orders, causing aerial image difference between the etched and unetched openings. Furthermore, the image imbalance affect changes through focus because optical path length of the 0-th order differs from that of the plus or minus order. An analytical solution of the aerial image has been derived to quantitatively understand the phenomenon. It can be shown that the contributions of phase error and transmission error are orthogonal, thus both errors must be eliminated to eliminate the 0-th diffraction order. The biased etchback approach proposed by Ferguson et al. has been shown to be effective in correcting transmission errors. Detailed implementation of the technique are explored in this presentation. The technique consists of multiple steps of uniform wet etch coupled with aerial image measurements after each etch step. After transmission error is corrected, a phase trimming process may be necessary to improve through-focus image balance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose zirconium silicon oxide (ZrSiO) film as a powerful candidate for attenuated phase-shift mask (Att-PSM) materials. A bi-layer structure of this material with an absorptive film (AF) and a transparent film (TF) can effectively control the transmittance and phase. We confirmed the durability of the ZrSiO film in ArF laser irradiation. The lifetime with the change in transmittance and phase after irradiation at 30 kJ(DOT)cm-2 is equivalent to a total dose of 3 years in future ArF exposure systems. We investigated the resolution performance of the Att-PSM with ZrSiO film for a 130 nm pattern. The depth of focus with the Att-PSM was larger than that of the binary mask. Therefore, an Att-PSM with ZrSiO is promising for developing a 130-nm-technology node with ArF lithography. Controllability of the critical dimension on the wafer is discussed from the viewpoint of the mask error factor (MEF).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternating Phase Shift Masks (alt.PSM) became one of the primary options to keep optical lithography on its fast shrinking path. They promise -- and demonstrated by numerous examples -- lithography with k1 factors down to 0.25, which is equivalent to print structures below 100 nm using established DUV exposure tools. However, lithography at that small k1 factors is a highly non-linear process. So proximity effects are expected, when realistic chip structures have to be printed. In addition to the well-known proximity effects at the use of conventional chromium masks or half-tone phase shift masks, the width of a line printed by an alt.PSM depends also on the geometry of the surrounding phase shifters. The paper confirms, that a simulation-based OPC-tool is able to describe this effect correctly and to correct for it. However, experimental data and simulation results suggest, that there is an additional proximity effect arising from the 3- dimensional geometry of the phase edges. This proximity effect changes the effective phase of a given phase edge from its intended value. It is possible to correct this effect for one geometry, but for arbitrary geometries, phase changes as function of the proximity of phase edges must be taken into account. Based on simulation results of 3-dimensional alt.PSM geometries, we developed a strategy to include all proximity effects of alt.PSM in order to print realistic chip layouts with design rules down to 130 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 17% transmittance embedded attenuated phase shift mask (ESPM) has been prepared and evaluated in terms of its optical printability of 180 nm to 150 nm hole features at KrF excimer laser light. A 6% transmission ESPM has also been evaluated for comparison. Contact hole features on test reticles were written by a laser writer with the laser proximity correction (LPC). The following effects of the LPC were found: (1) The mask CD is controlled within plus or minus 20 nm from the target for both dense and isolated features. (2) The CD was shifted over -20 nm for isolated eatures. (3) An improvement in CD linearity was observed where the CD error was kept within 10 to 70 nm even when the feature size and pitch were varied. A similarity in the results by Aerial Image Measurement Software (AIMS) and the optical simulator was found especially for isolated features, and this allows us to use the optical simulator instead of AIMS for evaluating the optical properties of EPSMs in some cases. By the Log-slopes of the optical intensity profiles of 180 nm contact hole features, we found that the high transmission EPSM has better Log-slope especially for isolated features. This means that the manufacturability of 180 nm contact holes will be achieved by using the high transmission EPSM. By similar evaluation we found that good manufacturability of 150 nm contact holes will be more difficult to achieve even by using the high transmission EPSM. The variation of CD-focus curves was analyzed for isolated contact hole features using AIMS data. The high transmission EPSM improves the exposure latitude for 180 nm features even with only 20 nm 4x resizing and also for 150 nm features but cannot improve the focus latitude. The Mask Error Factor (MEF) is evaluated by using the optical simulation. It is found to range in 1.1 - 1.5.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Dual trench alternating phase shifting masks with an optimized value of the so-called shallow trench depth represents an interesting approach to overcome aerial image imbalances. In order to get a better understanding of the possibilities and limits of this approach, especially for 5X reduction, theoretical and experimental investigations were accomplished. In this paper experimental data obtained from 5X dual trench type alternating PSMs, using DUV-lithography are introduced and compared with 3D-mask simulations. The masks were fabricated with different etch depths and contain parts of typical DRAM patterns. Besides the transmission balancing also the phase balancing has an important influence on the effective process window of an alternating PSM. The effective phase error can be measured with an AIMS-system (MSM100). The comparison with simulated data allows the determination of the phase error. In a second step the influence of different balancing methods on phase and transmission were investigated with the TEMPEST mask simulator for unpolarized light. The optimization of the balancing with respect to the CD-bias, undercut and etch depth will be shown and a first approach of a sensitivity analysis will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
BACUS '99 Special Focus Program: "Optics Forever!?"
157 nm lithography is a strong contender for 100 nm and 70 nm technology. Although feasibility studies did not identify any strong evidence that 157 nm lithography was not viable, several technological challenges still must be faced. CaF2 has been found to be an optical material that is practical for lens and illumination elements. Initially, the use of CaF2 materials for the reticle substrate was investigated. Its high thermal expansion, however, virtually excludes its use as a mask material. Recent measurements of modified fused silica have shown sufficient transmission of 157 nm for its use in lithographic masking. The residual absorption in modified- quartz masks is expected to give rise to thermal effects, but the choice of a non-Cr-based absorber may reduce the reticle heating effect. When considering mask design rules of 100 nm and 70 nm lithography, CD requirements become more critical. Even with NA values exceeding 0.7, the k1 value for 70 nm resolution exposed with 157 nm wavelengths will be low. Consequently, the mask error factor (MEF) is expected to increase further resulting in a larger reticle contribution to the overall CD budget. A counter measure for this would be a change in stepper reduction ratio from 4X to 6X. For 6' reticles only, this would result in smaller field sizes; but with 7' or 9' reticles, field sizes could become comparable to those available in current 4X Step & Scan Systems. The advantages and disadvantages of a change in the reduction ratio are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modified fused silica is the primary candidate material for 157-nm photomask substrates. Standard UV excimer grade silica, such as Corning HPFSR, does not transmit below about 175- nm because of its high OH content. In contrast, we have prepared fused silicas with low OH contents and low levels of fluorine with measured transmissions up to 73.8%/6.4 mm and internal transmittances up to 87.9%/cm at 157-nm. Refractive index measurements at 157-nm are presented from which we calculate a theoretical limit for the measured transmission (reflection losses only) of about 88.5% at 157-nm. Modified fused silica is shown to have high resistance to laser-induced color center formation. The thermal and mechanical properties of modified fused silica are shown to be similar to those of standard excimer grade fused silica but not identical. For example, the thermal expansion and Young's Modulus of modified fused silica are slightly lower than that of Corning HPFSR, while thermal conductivity is the same. Modified fused silica substrates have been shown to behave similarly to standard fused silica substrates in mask-making processes such as polishing and Cr film deposition. In summary, our property and process results support the selection of modified fused silica for the 157-nm photomask application.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, Dry & F doped fused silica (modified fused silica) expected as material of photo-mask substrate in the 157 nm (F2 laser) lithography has been evaluated with respect to the optical properties of transmittance, internal loss, F2 laser durability, index homogeneity and stress birefringence, etc. Obtained internal loss coefficient at 157.6 nm was approximately 0.015/cm (base 10), which was measured by several samples with different thickness. From this coefficient, internal loss was calculated as approximately 3% per 1 cm, 2% per quarter-inch. The uniformity in transmittance inside the sample diameter (120 mm) was nearly less than plus or minus 0.5% per 1 cm, plus or minus 0.3% per quarter-inch. Laser durability test was made by MIT/LL. The samples (3 mm, 20 mm and 40 mm thickness) were exposed to F2 laser light up to 80 - 520 million pulses with energy density of 0.1 to 1.4 mJ/cm2/pulse. No significant transmittance change was observed (change was less than 1.0% per quarter-inch at 0.1 to 1.4 mJ/cm2/pulse, 520 million pulses). We measured the index homogeneity by using interferometer and the stress birefringence by using phase modulation method. Inside the sample diameter (120 mm), index homogeneity was 150 ppm at 632.8 nm, the distribution configuration of relative refractive index has a central symmetry property. The stress birefringence was less than 5 nm per quarter-inch at 632.8 nm. As the result, we concluded that this new material had enough capability for the mask substrate of 157 nm lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Constraints of the photomask are beginning to play dominate roles in the advancement of new microlithographic technology. Mask substrate materials are being explored by several groups. In this work, we explore the thin film masking layers themselves and identify potential solutions for binary and phase-shift masking films for use at 157 nm. The chromium based absorbing films used for binary masking are likely to meet the required needs. Modification of the composition will be required. Attenuated phase shift masking films become challenging as few transparent host thin film materials exist at the 157 nm wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The mask is deemed one of the areas that require significant research and development in EUVL. Silicon wafers will be used for mask substrates for an alpha-class EUVL exposure tool due to their low-defect levels and high quality surface finish. However, silicon has a large coefficient of thermal expansion that leads to unacceptable image distortion due to absorption of EUV light. A low thermal expansion glass or glass-ceramic is likely to be required in order to meet error budgets for the 70 nm node and beyond. Since EUVL masks are used in reflection, they are coated with multilayers prior to patterning. Surface imperfections, such as polishing marks, particles, scratches, or digs, are potential nucleation sites for defects in the multilayer coating, which could result in the printed defects. Therefore we are accelerating developments in the defect reduction and surface finishing of low thermal expansion mask substrates in order to understand long-term issues in controlling printable defects, and to establish the infrastructure for supplying masks. In this paper, we explain the technical requirements for EUVL mask substrates and describe our efforts in establishing a SEMI standard for EUVL masks. We will also report on the early progress of our suppliers in producing low thermal-expansion mask substrates for our development activities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the comparison of defect printability experimental results with at-wavelength defect inspection and printability modeling at extreme ultraviolet (EUV) wavelengths. Two sets of EUV masks were fabricated with nm- scale substrate defect topographies patterned using a sacrificial layer and dry-etch process, while the absorber pattern was defined using a subtractive metal process. One set of masks employed a silicon dioxide film to produce the programmed defects, whereas the other set used chromium films. Line-, proximity- and point-defects were patterned and had lateral dimensions in the range of 0.2 micrometer X 0.2 micrometer to 8.0 micrometer X 1.5 micrometer on the EUV reticle, and a topography in the range of 8 nm - 45 nm. Substrate defect topographies were measured by atomic force microscopy (AFM) before and after deposition of EUV-reflective Mo/Si multilayers. The programmed defect masks were then characterized using an actinic inspection tool. All EUVL printing experiments were performed using Sandia's 10x- reduction EUV Microstepper, which has a projection optics system with a wavefront error less than 1 nm, and a numerical aperture of 0.088. Defect dimensions and exposure conditions were entered into a defect printability model. In this investigation, we compare the simulation predictions with experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Along with the rapid generation change of lithography and widened application of OPC, the process for High Accelerated Voltage E-Beam reticle is changing from Wet Etching to Dry Etching. Establishment and optimization of Dry Etching process becomes urgent need for mask manufacturers. However, the current resist commonly used for Dry Etching has a problem, which is resist film thickness loss on high pattern density area. Unless we can solve this problem, we can not say the Dry Etching process is suitable for next generation lithography. We selected and evaluated Chemically Amplified (CA) Resist to improve Dry Etching process of high-accelerated E-Beam. At first, as a reference of present quality, we evaluated both Dry Etching and Wet Etching process with a non-CA resist, which is commonly used. As a result advantages of Dry Etching over Wet Etching are confirmed in Linearity, pattern profile and resolution. But the problem of Dry Etching, which is pattern dependent CD variation caused by the uneven loss of resist thickness was also materialized. It is also confirmed that the amount of resist thickness loss is strongly related to pattern density. Then we did basic evaluation about several CA resists. As a result, it was confirmed that CA resist had advantages over non-CA resist on almost all aspects such as Contrast, film thickness loss and cross-sectional profile. And CA resist also solved the problem of resist thickness loss related to pattern density, which we observed when we used non-CA resist. Among several candidates, we selected one CA resist which has high contrast, vertical cross sectional profile, and enough remaining film thickness. We decided that the resist is suitable for Dry Etching optimization, then we did patterning evaluation using this resist. As a result the reticle quality was satisfactory. We could confirm big improvement on both pattern dependent CD variation and pattern profile compared to Dry Etching process using non-CA resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 50 kV electron-beam (EB) writing system HL-800M (Hitachi Co. Ltd.) was developed for 0.25 - 0.18 micrometer design-rule mask fabrication and widely applied. Chemically Amplified Resist (CAR) has merits of high sensitivity, high resolution and dry-etching durability. The combination of 50 kV EB and CAR is one of the best solutions to improve accuracy and throughput of next generation reticles such as 0.18 micrometer design-rule mask and beyond. The purpose of this study is to optimize the exposure and process conditions of the combination of 50 kV EB and CAR for improving Critical Dimension (CD) accuracy. At first, new positive-type CAR; RE515OP (Hitachi Chemical Co. Ltd.) has been evaluated. This resist shows the high resolution of 0.25 micrometer. Because of the vector-scanning EB such as HL-800M, the use of negative-type resist improves throughput of exposure. Negative-type CAR; NEB-22A (Sumitomo Chemical Co. Ltd.) has been also evaluated. This resist shows also the high resolution of 0.14 micrometer. It is clarified that both resists have the characteristic to meet the 0.18 - 0.15 micrometer design-rule mask fabrication. Besides, in order to improve CD accuracy with HL-800M, Proximity Effect Correction (PEC) condition has been optimized. Especially, as parameters of mesh-size and times of smoothing area-density, CD errors are investigated. As a result, CD linearity of 18 nm is obtained in the pattern-widths from 0.7 micrometer to 3 micrometer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The national metrology institute of Germany, PTB, offers traceable, two-dimensional pattern placement calibrations of microstructures on masks. Whereas the measurement uncertainty for the calibrated pattern positions in terms of traceable coordinate values is only comparable to the position tolerances actually required for mask patterning, i.e. about 35 nm, the deviations from the design grid can be determined with smaller uncertainties. In calibration certificates offered by PTB, these differences are taken into account and the uncertainties for the length of the grid and the uncertainties for the position deviations from the design grid are quoted separately as 30 nm and 10 nm respectively. Developments to further reduce the uncertainties are under way. An analysis of photomask recalibration results shows the long-term reproducibility of PTB length calibrations to be better than 15 nm or 1*10-7, including substrate stability effects. Details of the recalibration results will be given. Leica Microsystems Lithography runs a laboratory for two-dimensional pattern placement calibrations, formally accredited by PTB within DKD, the German calibration service. Results of recent comparison measurements between the DKD laboratory and PTB on masks of different size will be given and discussed as an example of the process of dissemination of the length unit to industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The inorganic antireflection coating (AR3-chromium oxide) commonly used on photomask blanks was designed to minimize flare in h-line (405 nm) lithography steppers. The reflection of light (flare) off this coating (air-photomask) increases with shorter exposure wavelengths. High levels of flare occur in 248 nm and 193 nm IC steppers due to reflections off of the photomask surface. The reflections (standing waves) in photomask resists also increase during exposure using lower wavelengths of light. Lithography simulations and photomask manufacturing trials have led to resist processes that can generate sub-0.30 micron resist features on photomasks with I- line optical pattern generators (ALTA 3500). Lower developer concentration, higher exposure doses and the minimization of standing waves by incorporating a post-exposure bake and/or organic antireflection coatings (ARC) maximizes resolution. High resolution photoresists show standing waves on photomasks fabricated with optical pattern generators. Low contrast resist processes show only small standing waves or in certain cases resist 'footing.' The use of organic antireflection coatings can minimize standing waves and allow the use of high contrast resist processes. ARCs reduce the swing ratio, which improves linewidth uniformity. ARCs also improve the adhesion of the resist to the photomask surface. Simulations reveal that the optimal ARC coating thickness is around 46 nm for typical I-line systems and around 50 nm for 257 nm non- chemically amplified photomask resists. Preliminary I-line photomask manufacturing trials have been done with bottom antireflection coatings at the DPI Reticle Technology Center. The first process trials reveal that the standing waves in high resolution resists were reduced but not removed. Very precise control of the ARC thickness must be exercised to completely extinguish standing waves and careful film thickness optimization appears to be necessary to minimize these reflections.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The IBM EL-4+ maskmaker, installed in the Advanced Mask Facility in Burlington site has been undergoing extensive evaluation of its ability to fabricate advanced 4X chrome on glass (COG) reticles. In previous years on 1X x-ray masks, the EL-4+ maskmaker has demonstrated image placement of 20 nm 3(sigma) , minimum feature size to 100 nm, image size uniformity to below 10 nm while maintaining availability of 97% during the last 6 months of 1998. So far, in 1999, the EL- 4+ system has demonstrated minimum etched features to 100 nm in COG using ZEP7000 resist and dry etching. Promising results have also been achieved using a gate level 0.18 micrometer ground rule mask as a learning vehicle. COG learning is continuing, with indications that we should be able to achieve 35 nm image placement. There are a number of significant advantages to be realized in COG reticle fabrication on the EL-4+. Resolution, coupled with a 1/640 tool grid permits features to be patterned with sharp corners and precisely located edges without any impact on writing speed. An advanced proximity correction algorithm exploiting the systems dose modulation capabilities insures image fidelity across a wide spectrum of pattern density.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photocathodes have been proposed as a technology for achieving multiple independently modulated electron beams for advanced electron-beam lithography applications. Thin-film gold photocathodes are of particular interest because they offer the potential of practical multi-beam electron sources that can be easily fabricated using thin-film deposition techniques without special environmental or handling techniques to achieve the desired stability and performance. A series of gold thin films were deposited on sapphire, using a developed baseline process and subsequently studied for photoemission in the 200 to 300 nm range. The study focused on the impact of surface chemistry, thermally driven microstructural changes, and adsorbed gases on photoemission. We have achieved current densities between 1 - 4 amp/cm2 with electron energies spread of less than 0.5 eV (laser illumination wavelength of 257 nm). The maximum current values, stability and minimum emitter spot size are limited primarily by excess temperature rise in the film caused by the laser illumination. In this paper we propose time, temperature and environmental dependent degradation mechanisms of gold thin film photocathodes which verifies their potential for advanced electron-beam lithography applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The incorporation of laser proximity correction into mask production is presented. The ALTA 3000 has been chosen for demonstration. The goal is to improve pattern fidelity of the ALTA 3000 to a level comparable to the ALTA 3500. This provides the possibility to shift production from the ALTA 3500 to the ALTA 3000 and extends the lifetime of an ALTA 3000. The paper focuses on demonstrating different applications and the incorporation into the standard mask production flow.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Analysis of pattern placement errors has shown a pattern and exposure sequence dependent component of placement error exists that cannot be accounted for by beam and stage positioning errors alone. The interaction of the electron beam (e-beam) with the resist can cause displacement of the e-beam from its desired position. This is commonly referred to as mask charging. A special pattern was created to enhance this effect in order to study its functional dependencies, including resist thickness, resist type, and exposure sequence. These errors are noticeable when writing in multipass strategies and where there are large gradients in pattern density. Customer and acceptance test patterns are included in the matrix to determine the magnitude of the errors with more production-oriented patterns. To further characterize this placement error phenomenon, the MEBES e-beam column was modified to minimize the distance between the exit location of the electrons from the electron optics and the surface of the resist-coated mask. Preliminary test results indicate pattern placement error is reduced by approximately 25% with this 'reduced gap' design. We are currently assessing the long-term effects of this new design. Of more importance, choice of resist and process are key components in reducing the charging effect. Reduction of more than 50% in placement errors using ZEP 7000 resist is detailed in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Residual linear birefringence is an important property for quality control of optical components used in optical lithographic instruments. We present in this paper a sensitive instrument to measure both the magnitude and angular orientation of residual linear birefringence in optical components, including photomask blanks. A variety of optical components are studied using this instrument. We will focus on the birefringence measurement of photomask blanks in this report. We have identified different patterns and levels of residual linear birefringence in these samples. We hope that the data provided in this paper will be helpful to the suppliers of photomask blanks, photomask manufacturers, and producers of optical microlithography instruments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed two puddle developers for ZEP7000. These developers are the mixtures of solvents having almost the same boiling points at higher temperature. Developer A and B are vaporized much slower than ZED500. Excellent CD uniformity is expected with them. Developers A and B are a stronger and a milder developers than ZED500, respectively. In this report, we focus on Developer B, taking the request of high resolution into consideration. We report the evaluation results of Developer B in comparison with ZED500.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The film thickness estimation is one of most important subject for the design up of photomask blanks. For control of photomask specifications, the thickness measurement technology is the key of well-designed photomask blanks. The grazing- incidence X-ray reflectivity technology is very useful in order to measure thickness, density and interface roughness of photomask blank. In this paper, we reported the adaptation of the X-ray reflectivity technology to photomask evaluation. A Cr and ZrSi oxide thin photomask blanks were prepared with DC sputtering method. The X-ray reflectivities of those photomask blanks were measured with RIGAKU ATX-E diffractometer system. The thicknesses and densities of the photomask blanks were calculated with RIGAKU XDD degree(s) program. The coincidence between the calculated X-ray reflectivity curves with surface modified model which was defined with a surface oxidized layer is better than the calculated results without a surface oxidized layer. These results indicate that the photomask blanks have a surface oxidized layer. Thicknesses of the same position with same films were measured by ordinary technologies, for example, microscopic observation and thickness measurement technologies. The accuracy of those layer parameters which were calculated with X-ray reflectivity curves were better than those parameters measured with other microscopic and thickness measurement technologies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As optical lithography will be extended to device generations below 150 nm of design rule, the critical dimension (CD) uniformity on a photomask is required to be as small as 13 nm (3(sigma) ). The relationship between development method and dark erosion is discussed in view of CD variations. The temperature variation on a photomask is found to be more than 4 degrees Celsius for a conventional spin-spray development. An optimized process using a 'puddle process' to minimize developer temperature effect and non-uniform spray pressure effect on CD variation. The CD uniformity using the optimized process brings 11 nm in 3(sigma) over 127 mm X 127 mm area on a 6-inch mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have been developing novolak-based chemically amplified positive resists for the next generation photomask fabrication. In this paper, we report two different types of EB resists: RE-5150P and RE-5160P. Our resist materials consist of four components: a novolak matrix resin, a polyphenol compound, an acid generator and a dissolution inhibitor. We applied two different types of dissolution inhibitors to our resist materials. RE-5150P and RE-5160P employed respective a high and a low activation energy type of a dissolution inhibitor. RE-5150P has high contrast and RE- 5160P has wide process window. As a result, we confirmed RE- 5150P could achieve 0.24 micrometer line-and-space vertical resist pattern profiles at 8 (mu) C/cm2 using the 50 kV EB- writer HL-800M, and RE-5160P has wide process window: post exposure delay stability is over 24 hrs. and post coating delay stability is over 30 days.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To further extend the lifetime of optical lithography, stronger requirements are placed on the optical materials used in stepper systems. For example, photomask requirements from mask makers and users include good transmission uniformity, low induced absorption, low defect density and low thermal expansion. However little emphasis and attention has been placed on the magnitude of birefringence. In this paper we present results on the dispersion of birefringence in fused silica photomask substrates measured from the visible to DUV wavelengths. An examination of the wavelength dependent stress-optic response that produces birefringence is performed and found to increase from 633 nm to the DUV. Measurements were performed on substrates with levels of birefringence that varied by an order of magnitude. Birefringence in photomasks results in a change in the polarization of an optical beam and for some lithography tools this can influence system performance and degrade image quality. Therefore, we believe an emphasis on low birefringence photomask substrates can possibly improve system performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Previous papers have examined the use of ZEP 7000 resist with dry etch and the compatibility of this process with advanced MEBES writing tools. This paper details further advances made to this process and the improvements in critical dimension (CD) control that can be made by fine-tuning the process. This paper describes how isofocal dose is found experimentally and how a process is established using that dose. The advantage of running a process at or near isofocal is that it provides minimum CD variation. One disadvantage of running at isofocal is that data bias is usually required. With a higher dose than 8 (mu) C/cm2, a new developer solvent from Nippon Zeon (ZED 750) is introduced to have a sufficient number of puddles and a long enough develop time to ensure good uniformity. Results of edge slope (i.e. sidewall profile) comparisons, resolution, and CD uniformity when using this process are presented and compared with results of the established process. Dry etching masks becomes increasingly important as the dimensions on the mask shrink in accordance with the SIA roadmap. The advantage of dry etch over wet etch is its anisotropy and, thus, a much reduced etch CD bias. One disadvantage of dry etch is the resist loss that occurs during plasma etching. The minimal resist thickness required for a dry etch process tends to be larger than that for wet etch. By choosing a different etch chemistry, significant improvements can be made in the selectivity during the dry etch, which is the ratio of the chrome etch rate relative to the ZEP 7000 resist etch rate. Improvements in selectivity may permit the use of thinner resists, resulting in a more robust process. Along with improved selectivity, the etch CD bias is decreased. This reduces the need to bias the data. Results from this alternative chemistry are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have surveyed the commercial resist market with the dual purpose of identifying diazoquinone/novolac based resists that have potential for use as e-beam mask making resists and baselining these resists for comparison against future mask making resist candidates. For completeness, this survey would require that each resist be compared with an optimized developer and development process. To accomplish this task in an acceptable time period, e-beam lithography modeling was employed to quickly identify the resist and developer combinations that lead to superior resist performance. We describe the verification of a method to quickly screen commercial i-line resists with different developers, by determining modeling parameters for i-line resists from e-beam exposures, modeling the resist performance, and comparing predicted performance versus actual performance. We determined the lithographic performance of several DNQ/novolac resists whose modeled performance suggests that sensitivities of less than 40 (mu) C/cm2 coupled with less than 10-nm CD change per percent change in dose are possible for target 600-nm features. This was accomplished by performing a series of statistically designed experiments on the leading resists candidates to optimize processing variables, followed by comparing experimentally determined resist sensitivities, latitudes, and profiles of the DNQ/novolac resists a their optimized process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With design rules continuing to shrink down to 0.18 micrometer and beyond, various small OPC features have been used more and more by the semiconductor industry to increase the process latitude depth of focus), preserve the printing pattern fidelity on the wafer and extend the lifetime of photolithography technology. These various sub resolution OPC features directly challenge the inspection capability of the most advanced inspection systems. Die to die and die to database inspection capabilities must be characterized. A comprehensive 'HellOPC' test mask has been designed and developed for the OPC inspection evaluation. We have evaluated the OPC inspection capability of various OPC features for four technology levels that are 0.25 micrometer, 0.225 micrometer, 0.18 micrometer and 0.15 micrometer with Die to Die and Die to Database modes with this 'HellOPC' test mask. Runability (runability equals inspectability) maps for various technology levels and various OPC sizes have been presented. The results showed die to die inspection capability is much better than die to database for the advanced OPC inspection. The APA on KLA351 and the AOP215 on KLA353 have been evaluated in this work.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Binary chrome masks with optical proximity corrections (OPC) will be used to produce devices for the 0.18 micron generation. The complex shapes of OPC features make automated inspection and repair difficult. Specifically it is difficult to recognize what features are defective and what they are supposed to look like. One feature that can repair such defects is Pattern Copy. Pattern Copy is a powerful image- processing program that is used to repair defects on complex patterns. This is done by taking an image of the defective area and comparing it to an image of a known good area. These two images are subtracted and the result is a bit map of the repair that must be performed. In this study, a series of repairs on OPC features was made with Pattern Copy and other techniques. These repairs were performed on 0.18 micron OPC features that had CD errors, defects in assist bars and clear and opaque extensions. Some repairs were deliberately biased to evaluate the effect on printability. The repaired mask was printed at 248 nm at TSMC and the CDs of the printed features were evaluated as a function of repair size, feature size and bias of the repair on the mask. It was demonstrated that two techniques can be used to make repairs that print with good CDs on the wafer. One method involves postprocessing the mask to remove any implanted gallium and the other involves biasing the repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Defect inspection and repair have been the most challenging aspect for manufacturing implementation and fabrication of alternating phase-shift technology. Optical inspection tools have difficulty detecting quartz defects because no substantial transmission difference exists between the quartz substrate and the phase-shifted regions. The presence of the phase transition regions also adds problems for optical tools by creating false defects, decreased sensitivity, or additional data sets. The following report explores the sensitivity of the KLA SEMSpec to several defect types, and sizes. The non-optical SEMSpec demonstrates some advantage over optical tools when detecting quartz, or phase defects. In contrast, the SEMSpec fails to match optical tools when considering chrome, or opaque defects. Several inspectability issues such as copper defects, and tri-tones also arise for each inspection technique which hampers manufacturability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Programmed defect test reticles are required to characterize automatic defect inspection equipment. In order to perform meaningful, quantitative comparisons between inspection systems, a precise and accurate defect sizing methodology is required. Historically, commercially available programmed defect test reticles have not had traceable or well-documented defect sizing methods nor was information regarding the precision of these measurements provided. This paper describes the methods used and results obtained from the work performed to address these issues. Using a low voltage scanning electron microscope as an image acquisition system, defect sizing is accomplished using automated pattern recognition software. The software reports defect size metrics such as maximum inscribed circle diameter and area. Measurement precision better than 30 nm has been demonstrated for the maximum inscribed circle method. The correlation of SEM based measurements to historical optical metrology measurements is also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stringent specifications require that reticle makers carefully examine the role blanks play in reticle quality. Photronics and KLA-Tencor are jointly examining several aspects of this issue. As part of this investigation, PBS blank quality was tested in a production environment using the KLA-Tencor STARlight inspection system. PBS blanks were inspected using a 500-nm pixel with the highest sensitivity settings. We completed a comprehensive study using an effective blank defect test pattern. The test pattern was chosen to maximize the probability that a blank defect will fall on a chrome-to- quartz transition. Several test reticles were inspected and reviewed before writing, and reviewed a second time after processing. 452 defects were classified using three variables: blank defect size, blank defect type and reticle defect type. Some blank defect sizes and types transferred to the test reticles with probabilities exceeding 80%. False defect rates were less than 0.5%. Defect statistics for two blank suppliers are presented. We outline the phases of the research, present the results and discuss the implications for production reticles. We demonstrate techniques that can be used before writing and processing to assess the probability of defect transfer. Plans for a trial protocol for blank inspection are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to resolution limitations in optical measurement technology, electron microscopy is becoming the preferred method of metrology for reticles. In addition, electron microscopy provides an excellent means for imaging and defect analysis. Therefore, the use of Scanning Electron Microscopes (SEM) on photomasks is increasing, and will continue to do so as feature sizes reduce. One disadvantage to this technology is the deposition of carbon in the exposure area. Experiments were conducted to quantify transmission loss, feature size variation, and stain thickness as a result of this deposition. Results suggest that minimal SEM exposure, including single measurements and imaging, has a negligible effect on the properties tested. Significant changes in transmission and feature size were noticed after multiple exposures. Experiments were conducted to remove the carbon stain, in an attempt to return the masks to the pre-exposure conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, Lasertec 9MD83SRII die-to-die inspection system was used for the post develop resist layer inspection. Residue resist defects were detected after develop. Defect locations were recorded. This result was compared with the inspection result after the final etching. Defect formation mechanism for different types of defects has been discussed in detail. Certain possible improvements have been made and results have been examined by the same technique. This study is a good understanding to resolve issues, such as defect problems of chrome dry etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When added CD (Critical Dimension) capacity is needed there are several routes that can be taken -- add shifts and people to existing equipment, obtain additional equipment and staff or use an outside service provider for peak and emergency work. In all but the first scenario the qualification of the 'new' equipment, and correlation to the existing measurements, is key to meaningful results. In many cases simply calibrating the new tool with the same reference material or standard used to calibrate the existing tools will provide the level of agreement required. In fact, calibrating instruments using different standards can provide an acceptable level of agreement in cases where accuracy is a second tier consideration. However, there are also situations where factors outside of calibration can influence the results. In this study CD measurements from a mask sample being used to qualify an outside service provider showed good agreement for the narrower linewidths, but significant deviation occurred with increasing CD. In the course of a root cause investigation, it was found that there are a variety of factors that may influence the agreement found between two tools. What are these 'other factors' and how are they found? In the present case the results of a 'round robin' consensus from a variety of tools was used to initially determine which tool needed to be investigated. The instrument parameters felt to be the most important causes of the disagreement were identified and experiments run to test their influence. The factors investigated as the cause of the disagreement included (1) Type of detector and location with respect to sample, (2) Beam Voltage, (3) Scan Rotation/Sample Orientation issues and (4) Edge Detection Algorithm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is widely accepted in the electronics industry that measurement gauge error variation should be no larger than 10% of the related specification window. In a previous paper, 'What Amount of Measurement Error is Too Much?', the author used a framework from the process industries to evaluate the impact of measurement error variation in terms of both customer and supplier risk (i.e., Non-conformance and Yield Loss). Application of this framework in its simplest form suggested that in many circumstances the 10% criterion might be more stringent than is reasonably necessary. This paper reviews the framework and results of the earlier work, then examines some of the possible extensions to this framework suggested in that paper, including variance component models and sampling plans applicable in the photomask and semiconductor businesses. The potential impact of imperfect process control practices will be examined as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A revolutionary CD error detection tool, the linewidth bias measurement (LBM), has been developed by the PDC group of Applied Material to solve the localized CD error detection issue for 0.18 micrometer and below design rule reticles. In this paper, we discussed, characterized and tested the LBM tool on both designed testers and real production reticles. Several potential applications have been demonstrated and discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photomask is one of the most critical technologies for lithography. Optical lithography at resolution limit is a non- linear pattern transfer process. OPC (Optical Proximity Correction) technology has been used in the semiconductor industry for controlling the shape of pattern, and eliminating the line shortening and corner rounding effects for submicron feature. Therefore, OPC technology is an approach for improving lithography performance that has been received much attention recently. We investigated the lithographic performance in terms of EL (Exposure Latitude), DOF (Depth of Focus), and mask error effects for various mask fabrication. It was observed that mask error gave severe influence on the lithographic performance and the OPC simulation error also strongly depended upon the mask quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method of improving the determination of photomask magnification and orthogonality corrections using linear programming (LP) is presented. The method discusses the inadequacies of the current methods and how LP modeling provides a more rigorous approach for determining the optimum corrections for an arbitrary set of customers, lithography tools, and cassettes. A detailed description is provided of the LP model formulation. A sample problem is discussed using actual production data to show one such set of corrections and an estimate is provided of the improvement that can be achieved with their implementation. Finally, possible refinements to the model are discussed along with suggestions regarding the technique's incorporation into a software application.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The search for the 'holy grail' of a fast, reliable, inexpensive predictor of defect printability has reached a new level. Taking images from several inspection tools (KLA-351, KLA-353, and KLA Starlight) during defect review, the AVI Photomask Metrology System provides measurement repeatability better than 5 nm, and significantly better correlation to printability than Scanning Electron Microscope (SEM) measurements. SEM measurements of printed defects are compared to various measurements of the defects on the mask. Analysis shows, as expected, that optical area measurements provide the best correlation to printability. Further, images from existing inspection tools are shown to be sufficient to produce these measurements using AVI's new 'Flux-area' technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To obtain good optical properties in an EUVL mask, the substrate should not suffer any damage either during mask fabrication or during use. As one step in ensuring that this is the case, the surface roughness of patterns etched on a mask substrate was examined, since it reduces the reflectance of the substrate. The experiments involved coating a Mo/Si multilayer with a SiO2 buffer layer and a Ta absorber layer. After the absorber was dry etched with a mixture of Cl2 and BCl3 gases, dry etching was used to over-etch the buffer layer. It was found that dry etching with Cl2 + BCl3 provides both a smooth Ta surface (roughness: less than 1 nm rms) and good control of the etching depth, even though the Ta etching rate was very high (389.6 nm/min.). The results indicate that the process used in this study is quite suitable for making absorber patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The final qualification of masks for extreme ultraviolet (EUV) lithography may require defect inspection utilizing EUV radiation. To properly address inspection of masks for the 0.07-micrometer technology generation targeted by EUV lithography, the overall defect sensitivity requirements and scaling trends in inspection of patterned masks are discussed. To achieve the data acquisition rates of several hundred megapixels/sec required during inspection of 0.07-micrometer technology masks and to maintain light intensities below the damage threshold of mask materials, simultaneous acquisition of the inspection signal from multiple pixels on the mask, rather than the serial pixel data collection currently used in many mask inspection tools, will become necessary. The high data rates needed for future mask inspection technologies impose requirements on the minimum pulse repetition rate of the light source used in the inspection and influence the EUV mask inspection system design options. EUV light sources that either produce continuous-wave radiation or operate at pulse repetition rates of at least 10 - 100 kHz will be needed for mask inspection relevant to EUV technology, assuming that data from 104 or more pixels can be measured in parallel. The average EUV light source power requirements for an at- wavelength, bright-field EUV mask inspection system are estimated to be on the order of 1 W. The basic technologies for sources, optics, and detectors needed for at-wavelength EUV mask inspection currently exist but significant efforts to develop the numerous system components would be necessary to implement practical EUV mask inspection tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask fabrication is one of the difficult challenges with all Next Generation Lithography (NGL) technologies. X-ray, e-beam projection, and ion-beam projection lithography all use some form of membrane mask, and extreme ultraviolet (EUV) lithography uses a reflective mask. Despite some differences, the various mask technologies share some common features and present similar fabrication difficulties. Over the past several years, the IBM Advanced Mask Facility (AMF) has focused on the fabrication of x-ray masks. Several key accomplishments have been demonstrated including fabricating masks with critical dimensions (CD) as small as 75 nm, producing line monitor masks in a pilot line mode to evaluate mask yields, and fabricating masks to make working microprocessors with the gate level defined by x-ray lithography. The experience on fabricating 1X x-ray masks is now being applied to the other NGL mask technologies. Progress on membrane and absorber materials can be applied to all the technologies, and patterning with advanced e-beam writing with chemically amplified resists utilizes learning from writing and baking on x-ray membrane masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present recent experimental results from an actinic (operates at the EUV wavelength) defect inspection system for extreme ultraviolet lithography mask blanks. A method to cross-register and cross-correlate between the actinic inspection system and a commercial visible-light scattering defect inspection system is demonstrated. Thus, random, real defects detected using the visible-light scattering inspection tool can be found and studied by our actinic inspection tool. Several defects with sub-100 nm size (as classified by the visible scattering tool) are found with the actinic inspection tool with a good signal to noise ratio. This result demonstrates the capability of the actinic inspection tool for independent defect counting experiments at a sub-100 nm defect sensitivity level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Distortion control is one of the key issues to solve for IPL stencil mask development. Placement is measured by a LEICA LMS IPRO system. Registration as well as overlay results and the error contributions of the measurement will be presented. The production flow of IPL stencil masks is marked by the fact, that e-beam patterning is done on the bulk wafer, whereas the removal of the bulk silicon and the creation of the free membrane takes place at the end of the process, after silicon trench etching. Therefore, distortions appear at the release of the membrane after bulk silicon etching and oxide removal. At e-beam patterning, the mask wafer blank is pre-stressed by the sum of the stresses of the different layers as bulk silicon, silicon oxide, the silicon of the latter membrane and resist. Additionally, the initial warp and bow of the mask wafer blank have to be considered. The analysis of the finite element modeling compares the placement at e-beam writing to the situation after membrane completion. With this information, the efficiency of a FE-supported software correction before mask patterning can be improved. Measurements of masks with different stress values are to be discussed in order to deduce the optimum stress values for IPL stencil masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
CD measurement of photomasks is currently mostly done with microscopes using white light. In the development phase of ion projection lithography, it is useful to evaluate the limitations of this approach. Here, the focus is on measurements with a Muetec2010/Leica LWM200 optical microscope which operates with white light. Stencil masks for ion projection lithography consist of a 3 micrometer thick silicon membrane with a top carbonic protection layer of about 0.5 micrometer. For a feature size of 0.4 micrometer, which corresponds to a wafer technology of 0.10 micrometer (IPL uses a 4:1 reduction), an aspect ratio of about 10:1 results. So, it is questionable if transmissive measurements are appropriate. Effects of reflections on the sidewalls and diffraction effects influence the repeatability. The absolute intensity of the transmitted light is reduced compared to thin mask layers. Results of transmissive measurements are presented and compared to reflective measurements on the same tools. But as the stencil openings are retrograde, the CD which is critical for the use in the ion exposure tool is defined on the top of the Si layer. This can be measured by a reflective method. For stencil openings, it resulted a repeatability of less than 16 nm 3s for a whole range of structure sizes down to 0.5 micrometer (smaller sizes were not evaluated for this purpose yet). This is comparable to the repeatability of chromium-on-glass masks, so a good perspective for future use results assuming an evolutive development of optical CD microscopes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose the use of optical proximity correction on absorber features to compensate for the effect of sub-resolution multilayer defects that would otherwise induce a critical error in linewidth. A series of defect printability and compensation experiments utilizing programmed multilayer defects are presented which demonstrate this approach. The amount of absorber removal for defect compensation depends on system imaging performance and the quality of the absorber removal process. A process flow for the mask fabrication, defect characterization and compensation is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The optical properties of materials comprising photolithographic masks are investigated at wavelengths covering the vacuum-ultra-violet (VUV) to the near-infra-red (NIR). Broadband reflectance (R) and transmittance (T) spectra from 130 to 1000-nm are obtained from a variety of single layer absorber and bi-layer absorber/anti-reflection coating (ARC) samples deposited on MgF2 and CaF2 substrates. These experimental data are analyzed using the Forouhi-Bloomer (F-B) dispersion equations, in conjunction with a least squares fitting algorithm, to infer the thickness and n and k spectra of the materials under investigation. Once determined, the optical properties of the component materials are used to calculate the optical density of the single layer absorbers at 157-nm. These preliminary calculations are performed to investigate the feasibility of extending the use of traditional mask materials to wavelengths below 193-nm. In addition, theoretical swing-curve and standing wave functions are predicted for a mask structure based on the CrOxNy/Cr material system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Automated reticle identification faces new challenges as the industry approaches the arrival of 300 mm wafer fabs and the possible introduction of 230 mm reticles. Data Matrix is a useful and established encoding format, and is proposed as a successor to current barcode formats. The Data Matrix feasibility experiment is described and results discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electrostatic discharge (ESD) effects on reticles are a well known and dreaded phenomenon in the semiconductor industry. Isolated chrome structures in the scribe lane but also in the device content are susceptible to ESD damage during reticle handling or storage. So far electrical field measurements document the risk by numbers, but what is behind this number? Is it dangerous or just unpleasant? What is about cumulative effects? CANARY is the answer on this. An ESD test reticle with such a high sensitivity that several hundreds of handling cycles, representing reticle lifetimes of several months, can be simulated within a few hours. Customized versions can easily be designed in order to reflect customer specific design and technology requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recent observations indicate that CD control for the 0.18 micrometer process generation using KrF exposure (k1 equals 0.41) could be sensitive to borderline detectable defect sizes (200 to 300 nm) on a 4X reticle. It is of interest to determine if these 'sub-killer' defect sizes can become process window limiting. It is also important to determine if CD error is sensitive to the interaction between defects and scattering bar (SB) OPC features. The experiment was based on a typical 0.18 micrometer process using the Defect Sensitivity Monitor (DSM) Reticle -- designed by MaskTools and manufactured by Photronics. Printed wafer data was presented previously on isolated feature cases. Here, data is presented on dense feature cases. In agreement with earlier isolated data, greater than 10% printed CD error was found for defects occurring on the main feature such as a 200 nm bump or a 250 nm divot on a 4X reticle. Greater than 6% of the exposure latitude can be lost due to plus or minus 50 nm (4X) mask feature width deviations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Meeting the latest requirements of aggressive users for the advanced mask for optical lithography will be difficult. In addition, improving the productivity and throughput of the advanced mask with high-density pattern data is necessary. To overcome these hurdles, Toshiba Corp. and Toshiba Machine Co., Ltd. have developed the new advanced mask writer, the EBM- 3000, shown in Figure 1. The EBM-3000 especially takes measures against airborne contamination in the load-lock chamber. Three components of the mask blank handling system have a function as mini environment. To link each of these components, it also employs a standard mechanical interface, SMIF, based on the concept of local cleaning technology. This paper is intended to describe the design concept of the new mask blank handling system for the EBM-3000, and prove these measures to be effective against airborne contamination by the experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shifting masks (PSMs) give us a breakthrough in the future semiconductor industry by extending sub-micron lithography further. PSM has been used for several years, and its requirements are changing due to the development of other technologies. First, it became possible to use high transmittance attenuated PSM (HT-Att-PSM) because of improvement in the photoresist technology. Second, continuous improvement in the technology of the inspection equipment lowered the inspection wavelength. Now, we are provisionally targeting to make HT-Att-PSM having 20% transmittance at ArF line and to choose KrF line as the inspection wavelength. In this study, we simulate the optimum conditions for HT-Att-PSM using matrix method. The simulation is performed to find the optimum range of the variables that yield 180 degree phase shift and 20 plus or minus 5% transmittance at the exposure wavelength, and less than 40% transmittance at the inspection wavelength. Based on the simulation results, we find the optimum fabrication condition of HT-Att-PSM. Using measured optical constants as a function of film composition, we determine the optimum film composition yielding 20% transmittance and 180 degree phase shift at the exposure wavelength and below 40% transmittance at the inspection wavelength. As a result, Cr2Al8O15 film with thickness of 124 nm was found to be suitable for ArF line HT- Att-PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effect of lens aberration in projection system is simulated for 0.2 micrometer isolated contact holes and 0.2 micrometer line/space patterns with conventional binary mask, 5% and 20% attenuated phase-shift-mask. The spherical aberration affects CD variation through focus on the 0.2 micrometer isolated contact holes for a 20% attenuated phase- shift-mask. Phase compensation is needed to minimize the CD variation. Line/space patterns are found to be insensitive to the spherical aberration. The comatic aberration is harmful for features as small as 0.2 micrometer line/space pairs. To satisfy the tolerance of 30 nm CD difference, the comatic aberration must be less than 0.05 (lambda) . The effect of astigmatism is negligible compared to the comatic aberration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-Shifting Mask (PSM) technology is one of the most practical resolution enhancement technologies for fine patterning using the DUV wavelength (248 nm) without employing a new exposure system. In this paper, we applied phase-edge PSM to 0.13 micrometer logic device and investigated the process latitude depending on the mask issues such as phase error, defect, bias, etc. In manufacturing phase-edge mask, the bias method was applied to layout generation in order to correct pattern displacement caused by space CD difference between shifter and non-shifter region. Also the effects of phase error and phase defect were examined and confirmed by simulation and experimental method. Moreover, in order to achieve more accurate CD control, optimization of trim mask design was performed for reducing linewidth variations during double exposure. With design rules extracted from simulation and experiment, the layout generation of full chip level gate layer was done by EDA software. By double exposure method using KrF scanner with 0.6 NA system, 0.13 micrometer logic gate patterns were printed successfully with good process margin and sub-0.10 micrometer patterns with good profile were resolved, which shows the possibility of further optical extension using phase-edge PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a Cr-based attenuated phase shift mask process for 0.18 micrometer device generation. The fabrication process including formation of opaque patterns with an electric conductive material is introduced. With a Cr-based attenuated phase shifter material, it is possible to adjust phase angle using a post process of quartz etching after mask defect inspection. Phase and transmittance control satisfied requirements for attenuated phase shift masks (att-PSMs) of 0.18 micrometer generation. Preliminary investigation of printability for after repair of a small clear defect with a conventional focus ion beam (FIB) carbon deposition film is reported. A positive carbon deposition bias is effective to obtain a larger common ED-window, and a more feasible ED- window can be obtained with a thinner carbon deposition film. Such a clear defect repair technique is indispensable in att- PSM fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel technique of sidelobe suppression based on absorbing assist pattern is introduced. Chrome shields are placed exactly at the position, where sidelobes appear. The effectiveness of this technique for sidelobe control is demonstrated by simulation and experimental results. The resulting process window enlargement for 180 nm contacts is investigated. Corresponding mask making issues are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ArF DUV ((lambda) equals 193 nm) lithography is rapidly emerging after 248 nm lithography because of the demand for further resolution improvement and wider Depth Of Focus (DOF). However, the 193 nm lithography requires innovative development in various areas, such as laser sources, resist chemistry, new PSM shifter materials, and optics materials. In this study, we evaluated TiSi-nitride based new attenuated PSM in terms of mask making process and its lithographic performance for ArF lithography. We used two kinds of TiSi- nitride based attenuated PSM blanks having 6% and 9% transmittance, respectively. Chemical durability of TiN/SiN film was evaluated. We selected optimal dry etching condition for mask making with good mask profile (side wall angle greater than or equal to 85 degrees) and Quartz (Qz) substrate roughness. The DOF margin at 9%-PSM was larger than those of others (6%-PSM and BIM) and Exposure Latitude (EL) of PSM was larger than that of BIM. Therefore, we concluded that TiSiN- based attenuated PSM was effective for ArF lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have reported that Zirconium Silicon Oxide (ZrSiO) film is one of the most promising materials for attenuated phase shift mask (att. PSM) for ArF excimer laser lithography. In this paper, we report on practical mask characteristics of ZrSiO att.PSM through its fabrication process. Optical constants (refractive index n/extinction coefficient k) of ZrSiO sputtered films vary continuously according to Ar/O2 flow ratios. A reasonable solution to improve spectroscopic property including transmittance at inspection wavelength can be obtained by a bi-layer structure, consisting of two films having different optical constants, that is absorptive film (AF) and transmissive film (TF). By selecting a pair of appropriate optical constants and adjusting thickness of each layer, we developed the bi-layer structure suitable for optical and other required properties. ZrSiO films are etched by chlorine-based gases, especially AF (bottom layer) dry etching using BCl3 gas has high selectivity to quartz substrate. By optimizing dry etching conditions, cross sectional profile has been attained to over 80 deg, moreover no remarkable residues and edge roughness can be seen. These masks are confirmed to have sufficient tolerance to conventional cleaning process by monitoring the change of transmittance or reflectance curve. Consequently, both transmittance and phase shift through fabrication process approached the required specification, plus or minus 0.3% and plus or minus 2 deg, respectively. Inspection or measurement tools for conventional masks are also applicable. In addition, ZrSiO att.PSM is proved to have sufficient durability for ArF excimer laser irradiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An advanced electron beam mask-writing system, with a high accelerating voltage (50kV) (EBM-210VS/Toshiba Machine, Ltd) was evaluated to meet the 130 nm node requirements. The system adopts accelerating voltage of 50 kV, variable shaped beam, vector scanning, continuous moving stage, and 230 mm mask capability. This paper presents the evaluation results of pattern positioning accuracy, stitching accuracy and CD accuracy et al. To avoid the influence of resist heating, the high sensitivity chemically amplified resists, NEB-22 (Sumitomo chemical, Ltd) and RE514OP (Hitachi chemical, Ltd) are used. These exposure doses are 11 (mu) C/cm2 (NEB-22) and 6 (mu) C/cm2 (RE514OP) for 50 kV electron beam. The writing strategy is four-pass exposures. The Proximity Effect Correction (PEC) of the representative figure method is performed prior to writing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced reticule specifications for 150 nm generation devices require large-scale improvements to resolution and critical dimension (CD) control. Resolution can be obtained by the adoption of electron-beam (e-beam) exposure and plasma etching with zero bias processes. However, CD control cannot be achieved without a writing and development strategy optimization. This paper describes the optimization of the key parameters (exposure and development) needed to reach CD uniformity below 20 nm necessary for 150 nm generation devices. The three factors (exposure dose, spot size and development time) were investigated by design of experiment (DOE). ZEP 7000 is an e-beam dry-etchable resist which requires higher dose than PBS and EBR-9 HS-31 usual e-beam resists. Therefore the exposure was made on a MEBES 4500 system combined with multipass gray (MPG) writing strategy. A puddle development was done on a STEAG ASE500 tool. CD measurements have been done after development on LEICA IPRO system using reflective light in order to eliminate the error induced by etching. The DOE results have been interpreted separately on the X and Y axis. The results of the DOE have been verified by measuring the edge resist slope with a scanning electron microscope (SEM) and by measuring chrome CD uniformity after dry etching and stripping.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This work demonstrated the ability of 230 mm reticle manufacture by a current technology. The CD accuracy of 17 nm (3 (sigma) ) and image placement accuracy of 30 nm (3 (sigma) ) were obtained in the 230 mm reticle. It was able to be confirmed that there was no big problem though the improvement was still necessary for manufacturing equipment of 230 mm reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In our work, we address the problem of design of phase mask for arbitrary two-dimensional patterns. Because of the physical limitations of the projection lithography system, a set of constraints on the class of images at the output is induced by the system. That is to say, it is not possible to generate patterns with arbitrarily sharp corners at the output of a stepper since the optical system is band-limited and the etching process is nonlinear. To overcome this, our approach is to devise a set of specifications for the output image, so that designed input mask satisfy the output's specifications as much as possible. A new method based on alternating projections to design pre-distorted mask has been proposed. First, we decompose the partially coherent imaging system into a set of coherent approximations. Then, we propose an optimization algorithm for designing phase shifting masks. In our algorithm we define two sets and two corresponding operators according to the system band-limited characteristic and etching process. Finally by applying the alternating projections onto the two sets, we obtain mask with continuously varying magnitude and phase. At last we propose a quantification method to fabricate mask to do experiments. In our experiments, the resolution enhancement is obvious and the proximity effects are decreased.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to mechanical and exposure considerations, NGL mask technology lacks the ability to use a pellicle to prevent mask contamination. The PLASMAX (Plasma Mechanical Activation and Extraction of Particle Contamination) process represents a technology, which acts as the functional replacement of a pellicle for the NGL mask. This dry environmentally benign cleaning technology can be directly integrated into the exposure system and serves as an in-situ creative mask cleaning process. Unlike other, more conventional cleaning methods, PLASMAX lifts surface particles from the mask, then suspends, traps and channels these particles down the vacuum port, thus preventing particle redeposition on the mask surface. Originally demonstrated on wafers, this plasma/mechanical cleaning technology has demonstrated its ability to remove particles from the surface of NGL masks such as SCALPEL (Scattering with Angular Limitation in Projection Electron Beam Lithography) masks. PLASMAX uses the combined action of a gentle plasma with simultaneous vibration to clean the mask. Unlike all other methods of mask cleaning, PLASMAX uses no water or hazardous acids, thus reducing the cost of each cleaning step and eliminating the environmental impact of today's aqueous cleaning technologies. Initial work with SCALPEL masks showed them to be highly stable and robust in the PLASMAX environment while yielding cleaning efficiencies of 90% removal of polyester particles 0.8 micron and larger. The PlASMAX technology was proven to be effective in removing particles from the patterned front side and strutted backside of the mask. This paper focuses on the ongoing development of PLASMAX to enhance the cleaning efficiency of SCALPEL masks down to 0.25-micron particles. In addition, the cleaning efficiency of various particle materials will be studied. Sandia National Laboratories is providing software model simulations of the PLASMAX technology to assist in the development effort.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An envisioned technology path to sub-0.1 micrometer process generations is first presented. OPC, PSM, and custom illumination apertures are all able to enhance the performance of the optical lithography. By integrating the use of these resolution enhancement technologies, it is possible to develop a production-worthy process that has sufficient overlapping process windows for all feature pitches. Critical dimension control is the key issue for sub-(lambda) process generations. The potential causes that can undermine CD control are discussed, and methods to minimize the problem are proposed. In addition to printing poly gate features, a method to print sub-(lambda) contact/via hole features is described. An outlook for meeting the technology challenges is discussed with conclusions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
IDEAL (Innovative Double Exposure by Advanced Lithography) has been introduced as a new double exposure technique to realize k1 equals 0.3 optical lithography. IDEAL uses a rough pattern mask with patterns close to the actual device design and a simple fine pattern PSM to resolve very high contrast images on a wafer. IDEAL can be applied to complicated two dimensional patterns for actual device such as double, rectangular or T-shaped gate patterns. Results of IDEAL on different pattern types are shown. IDEAL significantly reduces MEF (Mask Error enhancement Factor). At various rough and fine dose ratios, IDEAL demonstrates the advantage especially at fine linewidths below 150 nm where the MEF of single conventional exposures increase sharply. Our extensive calculation of MEF with various patterns and experiments on complicated two dimensional patterns further confirm that IDEAL is a practical method in advanced device manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As semiconductor lithography nodes become increasingly difficult to achieve with traditional optical lithography, several new technologies have emerged. SCALPEL (SCattering with Angular Limitation Electron beam Lithography) is at the forefront of the NGL technologies. SCALPEL technology uses an electron beam rather than laser light to produce images on the wafer. The SCALPEL mask is non-traditional in the sense that it is silicon-based instead of glass-based and the patterns are written on a membrane. SCALPEL provides unique challenges for the mask maker as well as the semiconductor manufacturer. In this study, we have demonstrated that the KLA-Tencor 3XX platform is capable of inspecting prototype SCALPEL reticles for pattern defects. The inspections were performed with two light wavelengths: 488 nm and 365 nm. Included are the difficulties faced and a projected roadmap for the inspection tool when SCALPEL enters at the 100 nm technology node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As advanced process technologies in the wafer fabs push the patterning processes toward lower k1 factor for sub-wavelength resolution printing, reticles are required to use optical proximity correction (OPC) and phase-shifted mask (PSM) for resolution enhancement. For OPC/PSM mask technology, defect printability is one of the major concerns. Current reticle inspection tools available on the market sometimes are not capable of consistently differentiating between an OPC feature and a true random defect. Due to the process complexity and high cost associated with the making of OPC/PSM reticles, it is important for both mask shops and lithography engineers to understand the impact of different defect types and sizes to the printability. Aerial Image Measurement System (AIMS) has been used in the mask shops for a number of years for reticle applications such as aerial image simulation and transmission measurement of repaired defects. The Virtual Stepper System (VSS) provides an alternative method to do defect printability simulation and analysis using reticle images captured by an optical inspection or review system. In this paper, pre- programmed defects and repairs from a Defect Sensitivity Monitor (DSM) reticle with 200 nm minimum features (at 1x) will be studied for printability. The simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.Process window comparison between unrepaired and repaired defects for both good and bad repair cases will be shown. The effect of mask repairs to resist pattern images for the binary mask case will be discussed. AIMS simulation was done at the International Sematech, Virtual stepper simulation at Zygo and resist wafers were processed at AMD-Submicron Development Center using a DUV lithographic process for 0.18 micrometer Logic process technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the effects of mask line edge roughness (LER) transfer in both deep ultra-violet (DUV) and extreme ultra- violet (EUV) lithography patterning is studied experimentally. In order to understand how the mask LER transfers in the wafer printing process under both DUV and EUV lithography, an optical mask with programmed LER was fabricated. The EUV mask was further fabricated by using the optical mask via optical lithography pattern transfer. The programmed LER on both optical mask, EUV mask, and printed resist images were characterized, measured, and compared. The data analysis showed that the mask LER in both DUV and EUV cases transferred to wafer with a scaling factor much less than one regardless of lithographic k1-factors. The LER transfer does not resemble the case of mask CD error transfer, in which scale factors greater than one for small lithographic k1-factor occur. The primary reason for the difference is that the amount of mask LER transferred to the wafer strongly depends on the total area of the LER, i.e. depends on both vertical and horizontal dimension of the LER. This case is very similar to that of a single mask defect transfer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
BACUS '99 Special Focus Program: "Optics Forever!?"
Projection photolithography at 157 nm is now under research as a possible extension of current 248 nm and planned 193 nm technologies. Although the conventional silica glass used for 248 nm and 193 nm lithography cannot be applied for 157 nm lithography because of its low transmittance, we have already developed the modified fused silica glass 'AQF' for 157 nm lithography. In this paper, we report on the fabrication of 'AQF' 6 inch photomask substrate. 157 nm transmission and its uniformity is better than 75 plus or minus 3%, and flatness is less than 0.5 micrometer. Defects over 0.4 micrometer in size are free. The physical and mechanical properties are also shown to be similar with our conventional silica glass 'AQ.'
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will summarize the results of the seventh annual mask industry assessment survey. This year there were 12 respondents. The specific responses from the participants have been kept confidential by having the participants send their responses to Arthur Anderson & Company prior to data evaluation. This year we will report the following information: total shipments, total customer returns, mask survival rate, delivery performance, average throughput time and safety records. The data reported will be for the period of 3Q98 through 2Q98. Additionally, we will present any trends that may be apparent in the data. This year's participants are Align-Rite, Dai Nippon Printing, IBM Essex Junction, Photronics/Toppan Texas, Compugraphics, DuPont Photomasks, Northrup-Grumman, Infineon, Taiwan Mask Corporation (TMC), Taiwan Semiconductor Manufacturing Corporation (TSMC) and Innova. In order to maintain some consistency, the format that has been used from past years will be maintained when possible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper contains data and conclusions reached during a beta test of the Leica 250 UV CD measurement tool conducted in early 1999 at DuPont Photomasks' Reticle Technology Center. The tool was evaluated primarily for final CD measurement focusing specifically on tool accuracy and precision in the measurement of a variety of feature types in both I-line and broadband transmitted light. Tool resolution, screen linearity, induced systematic error, and measurement speed were also given some consideration. Comments on the general usability of the tool are also provided.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.