PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Cost-effective scaling of semiconductor devices is enabled by High NA EUV technology, securing a solution for several technology nodes to come. High NA is an evolutionary step for EUV technology with new optics that increase the numerical aperture to 0.55NA. This paper discusses the benefits of High NA technology from a process complexity reduction point of view as well as the positive impact this will bring to the industry in terms of cost of technology reduction. The paper also explains how the learnings from current generation EUV tools are systematically implemented on High NA to achieve the highest possible maturity level at introduction. The High NA technology is positioned to support development work on advanced nodes (both for logic and memory) starting in 2023 and will support HVM from 2025. This paper will show the first results obtained during development and integration phase of various modules of the first High NA system (EXE:5000).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ASML NXE:3400 and NXE:3600D scanners are now commonly used for High Volume Manufacturing (HVM) of 7nm to 3nm logic devices as well as 10nm class memory devices. In this paper we will share the latest performance of these systems, including excellent overlay, critical dimension (CD) control, stability, reliability, and high productivity.
Furthermore, we will address the ASML roadmap for meeting the requirements for the 2 nm node and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In EUV resists, due to the high energy of the incident photons, most of the radiation chemistry arises from the emitted electrons and not the EUV photons themselves. The absorption of an EUV photon by a resist film leads to the emission of primary electrons, which, through a cascade of inelastic scattering events, cause excitation of molecules and emission of secondary electrons. Those electrons are playing a leading role in EUV patterning, initiating chemical transformations. The photoelectron spectroscopy of the resist materials provides information on the abundance and kinetic energies of primary and secondary electrons generated by EUV photons, as well as the ratio of slow vs. fast electrons. The photoelectron spectra demonstrate that the primary electrons have narrow energy distributions, whereas all spectra have broad distributions of slow, mostly secondary electrons, dominating the electron yield. The emitted primary electrons were assigned using quantum mechanical calculations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As high-resolution lithography processes edge past the 7 nm node, resist thicknesses continue to shrink and interfacial effects start to dominate performance. This is a challenging metrology problem, as it requires tools that can be used deconstruct the complex interplay of physicochemical nanoscale parameters. This requires the development of multimodal operando characterization capabilities and dedicated analytical techniques to study buried chemical profile and line edge/width roughness in the latent image; to understand the effect of EUV exposure, electron induced chemical reaction in the in situ process; and to reveal how the interfacial chemistry and structure affect the area selective deposition and etching process. We report on our recent results using resonant soft x-ray scattering (RSoXS), whose contrast is enhanced by tuning the incident x-ray energy in order to coincide with chemical inhomogeneities in a sample’s near-edge x-ray absorption fine structure (NEXAFS), which uniquely suited to extract critical information from thin interfacial areas for both top down and bottom up patterning approaches. We will describe how sensitivity can also be extended to study interfacial processes on nanostructured surfaces where the nano patterns can be tailored to enhance the sensitivity of the interfacial region where the we can achieve sub-nm spatial resolution and local chemical sensitivity at the same time. We will present the various experimental configurations and in-situ capabilities being developed to accelerate advances in both general RSoXS metrology and its application to understanding each stage of patterning process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In lithography, resist patterns are fabricated through chemical reactions induced by radiation. In the highly resolving lithography such as extreme ultraviolet (EUV) lithography, the stochastically generated defects, called stochastic defects, are a serious concern. In this study, the variation of resist polymer caused by stochastic effects was investigated, assuming line-and-space patterns to assess the defect risks. Using a half pitch, a sensitivity, a thermalization distance, a total sensitizer concentration, and a standard deviation of the number of protected units per polymer molecule as variables, the resist pattern formation was simulated on the basis of the sensitization and reaction mechanisms. The risks of stochastic pinching and bridging were clarified from the viewpoint of the variation of resist polymer in terms of the relationships to a half pitch, a sensitivity, a thermalization distance, a total sensitizer concentration, and a standard deviation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Continued optimization and improvement of the hardware and procedures for litho, processing, and operations have brought the MET5 ecosystem into a mature phase of its research lifecycle. The past year resulted with improved focus control, improved image stabilization, improved dose calibration, improved processing, 2X increase in shift capacity, new diagnostics for exposure dose and illumination alignment, improved online tools for users to plan and run experiments, improved data security, improved consistency of wafer supply, and improved film thickness metrology. This paper provides a summary of these improvements and learnings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV materials development is a key enabler of lithography and chip technologies progress altogether. At the XIL beamline of the Swiss Light Source synchrotron we use interference lithography to characterize EUV photoresist materials for high-NA EUV lithography. The beamline end station relies on transmission masks which consists in pairs of diffraction gratings printed by e-beam lithography on a thin Si3N4 membrane to generate periodic interference patterns. These patterns are used to expose a resist-coated wafer. The wafer is then developed and inspected with scanning electron microscopy to evaluate several metrics such as dose to size, resolution, roughness and defectivity. To obtain unbiased values of these metrics it is necessary to decorrelate resist effects from tool and aerial image artifacts. In this work we investigate the impact of mask grating quality on the latent aerial image using computer modeling and experimental data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel projection optics design concept with 0.55NA that enables 8nm HP resolution and a high throughput.
In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap. We will address various aspects of high-NA EUV imaging which involves balancing key metrics like contrast for LCDU, Depth-of-Focus for defect-free process window and dose-to-size for high productivity by means of source-mask and bias optimization, wafer CD retargeting, mask stack optimization and advanced scanner corrections.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resist Materials and Process I: Joint Session with Photomask and EUV Conferences
The advancement of novel EUV resist materials is a prominent enabler of next-generation lithographic technologies. The development of such materials is supported at PSI, within a joint research program with ASML. This program is designed to expose and characterize novel EUV materials of industrial vendors with the EUV interference lithography tool and the metrology infrastructure available at PSI. In this work, we consider the recent results obtained in the first half of 2022. We describe the exposure tool as well as the metrology implemented at PSI. Material performance is investigated in regard to the resolution, line-width roughness & sensitivity (RLS) tradeoff, with an emphasis on high-resolution patterning. Current limitations of each platform are presented and general progress and perspectives are discussed to lead the way to higher resolution results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) light generated by tin-plasma is used for state-of-the-art nanolithography. Currently, the plasma is generated by irradiating tin-microdroplets with 10-micometer wavelength light from CO2-gas lasers.
Energy efficient solid-state lasers providing 2-micron wavelength main-pulses may present a viable option for driving EUV-emitting plasma. The 2-micron drive wavelength is situated between the well-studied cases of 1- and 10-micron. Our experiments, using pre-pulse deformed tin droplet targets, show that a 2-micron main-pulse has the potential to provide a higher-brightness source, compared to the 10-micron laser case, with a higher conversion efficiency than in the 1-micron laser case.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Pellicle: Joint Session with Photomask and EUV Conferences
Extreme ultraviolet lithography (EUVL) has been receiving considerable attention in the semiconductor industry for mass-produce high-resolution patterns of 10 nm or lower. In high volume manufacturing (HVM), the pellicle is an essential component to protect an expensive photomask from
contamination of particles so that cost and yield of EUVL can be improved. As the EUVL has been dramatically developed, the pellicle has to be a very thin enough to transmit EUV light and has to withstand above 400W power. In addition, it must have the hydrogen radical durability for long pellicle lifetime.
In this work, a full size (110×144 mm2) pellicle is manufactured as shown in Fig.1. The pellicle composited of the several layers has above 90% transmittance and processes 10K wafers at more than 400W power. The change of pellicle components before and after EUV exposure is evaluated by Rutherford backscattering spectroscopy (RBS). Furthermore, advanced pellicle with 92% transmittance and above 600W of power is under
developing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pellicles capable of withstanding the high beam intensity of next generation EUV scanners at the same time maintaining a EUV transmission above 90% and lifetime sufficient to produce 10 000 wafers or more represents a significant challenge from the materials point of view.
In this presentation, pellicles manufactured using free-standing carbon nanotubes (CNT) films less than 20 nm thick were characterized for EUV transmission, scattering, reflectivity, mechanical properties, and capability to stand high intensity (30 W/cm2) EUV radiation in environmental conditions similar to a 600W EUV scanner. Several types of coated CNT films were also tested to increase the lifetime of the pellicles. The EUV transmissions ranged from 98% for uncoated samples to 95% for coated. Scattering up to 4.7 degrees was less than 0.1% for uncoated films and 0.2% for coated one.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To boost the productivity of extreme ultraviolet (EUV) lithography, the development of an EUV pellicle that not only has excellent optical properties such as high EUV transmittance and low reflectance but also can withstand
high-power EUV light sources is in progress. In this paper, zirconium silicide (ZrSi2)-based pellicles were fabricated and optical, mechanical, and thermal properties were evaluated to verify their applicability to EUV pellicle materials.
ZrSi2 composite pellicle was fabricated by depositing ZrSi2 on a silicon nitride (SiNx) free-standing membrane. The heat load test that emulates EUV exposure conditions was performed to evaluate the thermal properties. The optical and mechanical properties were evaluated by an EUV microscope and bulge test, respectively.
As a result of the thermal load test, the emissivity of ZrSi2 was measured to be 0.18-0.29 at a ZrSi2 thickness of 10-40 nm, and the emissivity increased as the thickness increased. The ZrSi2-based pellicle of Si/ZrSi2/SiNx structure meets optical requirements with EUV transmittance and EUV reflectance of 92.7% and 0.04% or less, respectively. In addition, the ultimate tensile strength (UTS) of this composite pellicle was measured to be about 3.5 GPa. From these results, it is expected that ZrSi2 can be used as an EUV pellicle material.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the EUV source power increases, the industry requires new pellicle materials with high EUV transmittance and chemical stability under EUV irradiation environments. We demonstrate a molybdenum carbide (Mo2C) membrane as a new pellicle material which exhibits high EUV transmittance (≥ 88 %). The stability of Mo2C membranes was confirmed under high temperature and hydrogen plasma. Through this study, the possibility of Mo2C as a candidate material for EUV pellicle was confirmed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study experimentally shows that wrinkles in EUV pellicles can degrade the M3D effect. EUV ptychography microscopy was used to measure the amplitude and phase imbalance between the -1 and +1 diffraction orders due to the wrinkles of the pellicle. Degradation of amplitude and phase imbalance of 0.5% and 0.08 π was confirmed due to the optical path-length difference (OPD) of the diffracted light through the wrinkled pellicle. We also reconstructed aerial images using a phase reconstruction algorithm to determine the effect of amplitude and phase imbalances due to wrinkles on mask imaging performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study we examined a series of model EUV resists with varying concentration of photoacid generator, PAG, or photodecomposable quencher, PDQ and their effects on resist uniformity using Nano-Projectile Secondary Ion Mass Spectrometry, NP-SIMS. Nanoscale analysis with NP-SIMS is achieved due three innovative features of the technique (1) the mode of data acquisition (2) method of data analysis (3) the nature of the impacting projectile. The results showed that ionic interactions between PAG and PDQ are modified due to the relative/absolute loading of the two components. These results demonstrate the NP-SIMS is a useful tool for assessing the effect of additive loadings on EUV resist uniformity at the nanoscale.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the hydroquinone (HQ)/trimethylaluminum (TMA) hybrid thin film synthesized by molecular atomic layer deposition (MALD) as a potential extreme ultraviolet (EUV) photoresist. The patterning characteristics of the resist was studied using electron beam lithography (EBL) and low-energy electron microscopy (LEEM), identifying energy-dependent critical exposure dose and contrast under wet development. Also identified were the reactive ion etching (RIE) characteristics, demonstrating a dry development parameter window, achieving the maximum etch contrast of ~10 nm between the regions with and without electron exposure. The results suggest the MALD approach as a viable synthesis route for developing new hybrid EUV photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA EUV lithography–scheduled to be implemented in a few years for high-volume manufacturing of extremely downscaled Si devices with 2 nm node and beyond–demands ultrathin organic-inorganic hybrid photoresists with high EUV sensitivity and etch resistance. In this work, we synthesized a positive-tone hybrid photoresist composed of PMMA infiltrated with molecular network of indium oxide by vapor-phase infiltration (VPI), an ex-situ inorganic hybridization method derived from atomic layer deposition, and evaluated its patterning characteristics by electron-beam and EUV lithography (EBL and EUVL). The hybrid resist featured significantly enhanced etch resistance under dry etching but also the critical doses of 300 uC/cm2 for EBL and 60 mJ/cm2 for EUVL, both largely comparable to those of PMMA. Unlike alumina infiltration in PMMA that has been demonstrated previously, it was found that the weak binding nature of trimethyl indium (TMIn), the gaseous precursor used to infiltrate indium oxide in PMMA matrix, to the carbonyl group in PMMA enabled a uniform distribution of the oxide content across the PMMA thickness. However, it also led to undesired residue in the developed regions due to the strong interaction between the Lewis-basic hydroxyl groups on the surface of starting Si substrate with infiltrated TMIn. The residue issue could be mitigated by passivating the Si surface by hexamethyldisilizane (HMDS) and combining the acid/base rinse and oxygen plasma descum. The results not only hint at the potential of VPI-based ex-situ hybridization in developing novel hybrid EUV photoresists but also highlight the technological details that must be addressed to enable high EUVL performance in the infiltrated hybrid resist system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the ways to push down resolution limits in EUV lithography is to use alternative masks. In this work, we study the performance of a low-n absorber dark field (DF) mask for L/S printing.
Comparing to TaBN absorber mask, low-n DF mask brings contrast gain for dense L/S. Yet we observe large best focus shifts for isolated features.
In this work we demonstrate how adding assist features can resolve this limitation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV (extreme ultraviolet) lithography has been introduced in high volume manufacturing in 2019 and continuous improvements have allowed to push the lithographic performance to the limits of 0.33 NA single exposure. However, stochastic failures, pattern roughness and local critical dimension uniformity (LCDU) are still major challenges that need to be addressed to maintain node shrinkage and improve yield. Together with pitch downscaling, photoresist thickness is decreasing to prevent pattern collapse. A lower depth of focus is also expected with high NA EUV which might even thin further down the resist layer. Being able to transfer the patterns with good fidelity is therefore getting very challenging because the resist “etch budget” is becoming too small to prevent pattern break during plasma etch transfer. A co-optimization of lithography processes, underlayers coating and etch processes is essential to further support the EUV patterning extension.
In this report, recently developed hardware and process solutions to stretch the limits of EUV patterning will be presented. The latest performance for both chemically amplified resists (CAR) and metal oxide resists (MOR) will be introduced, with a focus on defect mitigation, dose reduction strategies and CD stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this contribution, we present a scanning coherent diffractive imaging (i.e. ptychography) microscope operating in the EUV. Coherent EUV radiation at 13.5 nm is generated by high-order harmonic generation using a high-power fiber laser system. Utilizing structured illumination, a highly stable EUV source and ptychography setup sub 20 nm half-pitch resolution is demonstrated on a resolution test chart. Moreover, the lamella of an integrated structure is investigated and its contained materials are identified using the measured quantitative amplitude and phase.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With EUV attenuated phase shift absorbers rapidly approaching maturity, actinic metrology previously developed at scientific facilities must be transferred to high volume manufacturing. We explore the performance of actinic phase metrology with reflectometry and scatterometry, using either a synchrotron light source at a scientific facility, or a plasma light source in a commercial tool. We assess the impact of challenges from temporal and spatial coherence, throughput, and measurement noise. We present simulations and experiments to compare the performance of the Center for X-Ray Optics reflectometry and scattering beamline with the EUV Tech ENK reflectometry and scattering tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. New techniques and methods are needed to mitigate pattern defectivity and roughness using both lithography and etch processes to eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns. Additionally, underlayers and resist optimization play a significant role in resist pattern fidelity. This paper reviews the ongoing progress in coater/developer processes that are required to enable EUV patterning by using chemically amplified and metal oxide resists. We will discuss several new techniques for pattern defectivity, roughness, and EUV dose- to- size reduction using coater/developer processes. In addition, we will review our study with various underlayers to enable smaller minimum critical dimension size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultraviolet Lithography (EUVL) is in high volume manufacturing (HVM) for the 7nm node, and a high-NA system for future 3nm nodes is currently in development. However, current Ta-based EUV masks have limited imaging performance due to mask 3D effects, so there is an urgent need for new advanced EUV masks. In this study, we propose a platinum tungsten (Pt-W) alloy as an alternative absorber material with advantages in both imaging performance and EUV mask manufacturing process. Since Pt is a material with both a low refractive index for phase shift effect and a high extinction coefficient for high absorption of EUV light, it shows improvement in imaging performance and mitigation of mask 3D effect (M3D). In order to improve the difficult etching properties of Pt, W was introduced as an alloy material, and a fluorine-based gas was selected as the etching gas. Through the PROLITH 2020b simulation tool, we found that the Pt-W alloy exhibits higher NILS and mitigates non-telecentricity compared to Ta-based absorbers. We also evaluated the etching properties of Pt-W alloy thin films using CF4/Ar gas. As a result, it was confirmed that the etch rate of PtW was about 1.86 times higher than that of Pt, and a platinum tungsten alloy pattern having a high sidewall angle was obtained. In conclusion, Pt-W alloy can be applied as the EUV mask absorber capable of fine patterning while improving the imaging performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
State-of-the-art EUV photomask blanks are coated with an ion-beam-deposited multilayer of Mo/Si, specifically designed for high reflectivity at the 13.5 nm EUV wavelength. The process-of-record for these multilayers is secondary ion beam deposition, due to its exceptional performance in low defect density and ultra-smooth interfaces. As EUV transitions into high volume, the industry demands ever tighter specifications, particularly: more repeatable and uniform central wavelength (CWL); fewer and smaller defects; and higher throughput. We present several software and hardware advancements towards improving manufacturability of the Mo/Si multilayers. Specifically, we introduce refinements of endpoint algorithms and motion control enabling CWL control towards a projected demand for +/- 10 picometers. Furthermore, we demonstrate an enhanced target assembly for controlling the formation and evolution of target “nodules”. Nodules are a known source of defects in EUV mask blanks, as well as impacting throughput by shortening the mean-time-between-maintenance (MTBM). We also demonstrate broader target erosion and estimate the resulting improvement in MTBM. In addition, we present a next-generation ion source for further throughput improvement via higher deposition rates. We compare Mo and Si deposition rates with the existing and new ion sources, and project estimated throughput improvements by a factor of at least 2x, while maintaining the same ion energy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.