PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Introduction of EUV has greatly simplified patterning but patterning complexity and costs continue to increase every node. Contributing to the complexity and costs are some of the limitations of EUV such as stochastic defects, resolution, line-edge roughness, sensitivity (RLS) tradeoff, counter scaling of tip to tip as half pitch decreases, poor etch selectivity, edge placement errors (EPE) with EUV multi-patterning as well as challenges in EUV Mask fabrication such as Mask 3D effects for 2nm and below nodes. This talk will outline how innovation in materials engineering combined with new process technologies is being used to address these limitations to extend EUV and greatly help in reducing costs. Novel films and underlayers and their co optimization with both CAR and metal oxide photoresists, new advances in plasma etch, breakthrough pattern shaping capability which can elongate pre-defined line/space patterns, selective technologies for fully self-aligned solutions, advances in metrology for EPE measurement and EUV defect detection as well as progress in mask writing, mask etch, and mask cleans for EUV mask materials will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advances in memory technologies, novel compute architectures, artificial intelligence, quantum computing, robotic automation, and cloud technologies are poised to further accelerate discovery, drive profound transformation, and help enable a sustainable future. However, can these same technologies allow the semiconductor industry to address its own key sustainability challenges to ensure a sustainable future for computing? How close is the semiconductor industry to enabling a new virtuous cycle of accelerated discovery and sustainable computing?
To discuss the needs, opportunities, and progress, this talk will review some of our recent efforts to tackle a sustainability challenge facing a very important class of chemicals used in the production of computing devices, photoacid generators (PAGs). PAGs are a critical component of the chemically amplified photoresist materials used in modern semiconductor lithography. Improvements in photoresist materials (and PAGs) helped drive the last 30 years of semiconductor device scaling. Unfortunately, this legacy is at risk as onium-based photoacid generators are one of several classes of chemicals that have recently come under additional scrutiny from global environmental regulators for their potential environmental risks. Can emerging compute technologies accelerate the discovery of new photoacid generators with improved sustainability attributes?
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Opto-mechatronic systems are getting smaller and require higher accuracy. Improved surface particle cleanliness and defectivity control, preferably below the micrometer range, is required to keep performance and yield at the same level. To fill this need, the Fastmicro Product Scanner is developed to measure surface particle contamination levels directly on a large product surface: such as blank wafers, backside of wafers, reticles, both sides of pellicles, displays and other products.
This manuscript describes the investigation of the applicability of the Fastmicro Product Scanner
• as particle detection tool
• as part of a hybrid particle qualification tool with the Fastmicro Product Scanner taking care of the particle detection and particle location measurement.
• for various types of samples
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An extreme ultraviolet (EUV) pellicle is employed to prevent contamination on a EUV mask. The EUV pellicle, a high-priced membrane, gets contaminated during both the fabrication process and exposure. The lifetime of the pellicle can be extended by the removal of these contaminants. In this study, a particle removal technique for the EUV pellicle was developed. A functionalized atomic force microscopy (AFM) probe and programable particle contamination system were developed for particle removal and evaluation of the technique, respectively. The particle was removed with a pinpoint technique and the inherent vibration of the free-standing membrane was suppressed during the process. The process window of the proposed pinpoint cleaning technique was investigated to ensure damage-free particle removal and the nanomanipulated functionalized probe resulted in efficient particle removal from the pellicle surface without damage.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sustainability is gaining momentum in high-tech industry with large companies announcing targets for net-zero carbon emissions by the middle of this century. Existing logic process flows are coupled with tool and process information to identify equivalent carbon (CO2,eq) trends in logic technology nodes with focus on the impact of lithography. The relative footprint of lithography relative to other process steps will be shown for advanced logic nodes. Comparing 28nm to 1.4nm logic flows, lithographic steps have become the largest contributor to total emissions. With this growing importance, more scrutiny of assumptions and mitigation is needed. In this paper, lithographic and mask process variables will be used to identify levers available to the lithographic community to reduce the semiconductor manufacturing carbon footprint.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The consensus in the consumer electronics industry has coalesced around the potential for AR to be the next revolution in ubiquitous computing platforms - on the same scale as the transition from mainframes to personal computers (PCs), from PCs to laptops and from laptops to smartphones. The typical architecture for NEDs for AR is comprised of two main components: an emissive light source stack and a display combiner. In this talk we will focus on the display combiner which is the most visible part of the AR glasses and whose purpose is to channel the photons to the eye without impeding normal 20/20 vision. We will focus on the key challenges and opportunities for technology and manufacturing of SRG combiners for AR. We will cover the unique challenges that the SRG combiner technology poses for the photomask industry ecosystem and offer ideas for discussion to resolve such.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Many developers of AR/VR devices use diffraction gratings on their waveguides to guide the transmission of an image from the projector to the viewer's eye. Production of such diffractive structures in high volumes presents many challenges in terms of resolution, accuracy, fidelity, yield and cost. Displacement Talbot Lithography technology brings the proven advantages of photolithography to the AR/VR field enabling high quality waveguide production at low cost.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We will discuss the design and manufacturing of freeform metasurfaces that exhibit high efficiency, multi-functional capabilities. First, we will discuss local and global gradient-based optimization algorithms that can produce non-intuitive, curvilinear designs utilizing multi-scattering light-matter interactions to achieve high performance. Second, we will discuss how physics-augmented deep networks can be trained with a combination of data and physical constraints to serve as accurate surrogate electromagnetic solvers that can produce solutions three to four orders of magnitude faster than with conventional methods. Third, we will introduce a concept termed reparameterization that can enforce hard design constraints, such as fabrication-dictated minimum feature sizes, into the design process. Finally, we discuss future challenges and opportunities to freeform photonics implementation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA EUV Mask: Joint Session with Photomask and EUV Conferences
The mask 3D effect of the EUV mask is key to implementing a high NA scanner process. This is attributed not only to the absorber but also to the multilayer films. Mo/Si multilayers are widely used for EUV masks. However, it may not have sufficient properties in terms of mask 3D effect. We have developed an alternative multilayer toward application to the high NA system.
There are various factors that mask blanks affect wafer productivity. In this report, we evaluated durability performances. These evaluation results on the alternative multilayer will be presented and the next action will be discussed for future production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-sensitivity EUV reticle inspections in mask shop and IC fab are required to enable successful EUV adoption in production for the considerations of yield increase, cost and cycle time reduction. Since 1st optical (193nm) tool for EUV reticles inspection was released in 2017, KLA has been committed to having continuous performance improvement both in hardware and die-to-database algorithms for EUV High Volume Manufacturing (HVM) reticle qualification. In this paper, we will provide an overview of the latest KLA activities toward enabling EUV-reticle manufacturing through 193-nm reticle-inspection tool advancements to meet requirements for mask shop qualification and for IC fab mask re-qualification. This is accomplished via combined inspection steps of direct reticle inspection and wafer print check. We will also review challenges for future nodes and show the latest progress to address them utilizing next-generation reticle inspection systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the research, we describe a method that can well control the mean-to-target (MTT) difference in the critical dimension (CD) of the reticle. It is called the multi-etched (or re-etched) process, re-etched process will separate the conventional dry-etched process into two steps. The major purpose of the first etching is takeout the absorber layer and get preliminary CD results (line/width are less than but close to the design target), and the function of the second etched process (re-etched) can precisely control CD results to match design target. On the re-etched process, not only MTT difference but also CD uniformity will be improved. Therefore, the re-etched process might be a method to well control CD performance (i.e., MTT and uniformity) of the reticle for mask shop application.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this presentation, the authors will review the next generation light source with improvement in speckle reduction for improved device performance yield in next generation device nodes. Further improvements in local critical dimension uniformity (LCDU) from improvement optics that significantly reduces speckle. Overall system availability continues to increase due to significant improvements in module lifetimes which continue to contribute to productivity improvements. We continue to focus on reducing the environmental impact through the reduction and eventual elimination of helium gas which reduces supply issue risks. Lastly, we continue to focus on technology improvements that reduces energy consumption to reduce cost and ecological impact.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multi-beam mask writer MBM-2000PLUS has been released for the 3nm+ technology node. It is designed with the capability of low dose sensitivity resist over 150 uC/cm^2 in the writing of leading-edge EUV and optical blanks without constraint by beam exposure time. Furthermore, taking advantage of multi-beam writing strategy and its high beam current density, ultra-high throughput writing is also available by selective pixel size. This selective pixel size will make it possible to product both leading edge and middle grade masks efficiently. In this paper, the relation between the pixel size, throughput and precision is discussed and demonstrated by writing experiments in MBM-2000PLUS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Global semiconductor market is expected to have strong growth driven by various applications but suffering for the chip shortages. Tight supply is expected also in semiconductor photomask industry and the leading-edge photomask tend to have the most of the attention but concern increases in mature photomask supply chain coupled with aging photomask writers. Mycronic has introduced the SLX series to contribute solving existing issues design with sustainability profile. We will share the latest evaluation data from the system and share environmental impact of the SLX through LCA (Life Cycle Analysis).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the last year, the AIMS® EUV has been extended to applications beyond the native defectivity review, such as the metrology of EUV Phase Shift Masks (PSM).
The technology developed for the AIMS® EUV Phase metrology application enables the measurement of the phase difference between the absorber reflected light relative to the light reflected by the multilayer. A reliable information over the mask phase is important for process control in the mask production chain, as well as for the optimization of the wafer exposure process on the scanner.
In this paper we will describe the challenges of enabling a precise metrology for the mask phase and will investigate the advantages of employing EUV phase metrology capability as process of record in the mask shop for different engineering and production steps: etch control, imaging optimization and wafer process window enhancement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The transition to curvilinear mask ILT might significantly increase layout complexity, posing a substantial challenge for data transfer and storage systems as file sizes explode. Traditionally, multi-beam mask writers (MBMW) have been using a mask data format (OASIS.MBW 1.0/1.2) derived from OASIS P44, leading to multi-terabyte layouts. IMS presented OASIS.MBW 2.1 as an efficient data format for curvilinear ILT masks. Since then, the development has been focused on reducing the file size further while simultaneously ensuring that IT equipment inside the fabs may handle the increased workload as demanded by storage-efficient file formats. In this paper, we propose a further possible solution to the file-format question (OASIS.MBW 2.2) taking into account corrections and requirements of leading-edge most advanced nodes. With that, we collate other file formats and explore their weaknesses and benefits.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.