PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Optical lithography through photomask imaging has been the workhorse for 2D wafer scale nanostructure production sustaining Moore's law since more than 5 decades. NanoImprint lithography (or soft lithography) has been a great technique to produce arbitrary 3D wafer scale nanostructures since more than 2 decades. Optical lithography is generally limited to 2D nanostructures (gray scale lithography only providing some relief) and nanoimprint lithography is limited by small aspect ratio and relatively conventional nanostructure geometries as well as by the number of replicas a single hard master can provide. Merging the best of both worlds as in non contact 2D optical imaging to produce arbitrary 3D nanostructures could allow industry to move around such limitations. We will review the latest efforts done towards these goals and some potential developments for the next years.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The semiconductor industry is constantly evolving, and advanced OPC and mask technologies are at the forefront of this evolution. In this keynote address, we will explore the power of these technologies and their role in shaping the future of the industry. Photomask technology and quality significantly influence how well semiconductor chip design objectives are realized in the final product. From enabling higher resolution lithography to improving yield and reducing costs, advanced OPC and mask technology have the potential to revolutionize the semiconductor industry. Through practical examples, we will demonstrate the impact of these technologies and provide insights into the future of semiconductor manufacturing. Join us as we explore how advanced OPC and mask technology are shaping the future of the industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Heterogeneous Integration (HI) is a powerful and crucial enabler for the continued growth of computing and communication performance. Advanced packaging technologies are critical enablers of HI because of their importance as compact, power efficient platforms. This talk will focus on the tremendous opportunities in different application environments and focus on the projected evolution of advanced packaging architectures. Interest in HI research has picked up in recent years and this opens up greater collaboration opportunities between academia and industry. Specific examples, showing how product implementations take advantage of currently available HI technologies, to provide an unprecedented level of performance, will be used to describe the challenges and opportunities in developing robust, next generation advanced package architectures. A broad scope roadmap of the future generated as part of an industry-academic collaboration will be discussed in this context to highlight the opportunities generated by HI. Opportunities in physical interconnect scaling, an important part of the HI Roadmap will be discussed in detail with a focus on processes to create fine pitch, high performance interconnects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA EUVL: Joint Session with Photomask and EUVL Conferences
The increasingly tight overlay requirements to support high-NA EUV lithography are driving specifications for mask metrology tools. Precise and accurate mask registration measurements with optical proximity corrections are challenging but essential to enable scanner on-product overlay requirements. To achieve this, it is necessary to improve registration tool capability to enable resolution and highly repeatable measurements of small features. Close cooperation between equipment vendors and mask shops is required to keep pace with those requirements. The latest generation of the Carl Zeiss registration tool PROVE® neXT provides an illumination wavelength of 193 nm and a numerical aperture of 0.8 to provide inherent repeatability and resolution advantages on smaller features. The present paper reports the performance of a fleet of PROVE® neXT tools. The readiness to meet the requirements of upcoming technology nodes, notably high – NA EUV lithography, is reviewed. Related application cases are discussed from a mask shop point of view.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As EUV lithography becomes increasingly prevalent in the fabrication of advanced IC chips, the ability to provide defect-free EUV masks through reliable pattern defect inspection tools is crucial for successful high volume EUV wafer fabrication. While the existing mask pattern inspection tool is based on 193nm DUV wavelength and has been used for EUV mask production for many years, the development of actinic pattern mask inspection (APMI) tools has enhanced detection capabilities for printable defects on EUV wafers. However, both DUV-based and APMI tools are costly inspection scenarios. This study aims to evaluate the Lasertec X9ULTRA, a new 193nm EUV mask pattern inspection tool, as a more cost-effective solution for high volume N3 EUV mask making. By optimizing shading calibration conditions and improving tool optics components for illumination and speckle noise reduction, the signal-to-noise ratio (SNR) of N3 mask DOIs were enhanced. As a result, X9ULTRA has the capability to detect all printable defects of interest (DOIs) accurately through die-to-die pattern inspection, meeting the sensitivity requirement of N3 mask productions. The validation of real N3 mask productions confirmed the tool's false defect count performance also. Furthermore, the X9ULTRA tool can output full mask pattern images to TSMC in-house GPU-based image computers and data servers, allowing for the development of a TSMC in-house die-to-database defect inspection algorithm. This study identified the SNR of DOIs, the defect detection capability, and the false count performance of the in-house die-todatabase algorithm using N3 masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Lithography has grown rapidly since its first production use in 2019. The reticle quality and defect control have become of utmost importance now than ever. KLA's Teron 647e reticle inspection system and its database inspection capability have been the workhorse for mask inspection at N5 and below. To support tighter defect requirements for EUV mask inspection at advanced design nodes, KLA has pushed the envelope of DUV inspection technology and developed the next-generation Teron 647e system with advanced super-algorithms, including a hybrid database algorithm and a deep learning database algorithm. In this paper, we will discuss the evaluation results of the new reticle inspection system on critical logic and DRAM layers using a hybrid database algorithm. The next-generation reticle inspector demonstrated better sensitivity at much-improved inspectability compared with systems utilizing previous-generation algorithms. One specific area where the hybrid database algorithm showed high values was in the ability to handle Flare OPC variation between die to die, thereby significantly eliminating modeling-induced false defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of Inverse Lithography (ILT) in semiconductor manufacturing has been driving the need for curvilinear (CL) mask shapes. CL shapes improve wafer critical dimension (CD) process window through focus, reduce mask error enhancement factor (MEEF) and enable fully utilizing mask rule checker (MRC) specs to guarantee the best OPC correction on challenging corner-to-corner (CTC) and end-to-end (ETE) 2D geometries. The insertion of Multibeam (MBM) writers in mask high volume manufacturing (HVM) enables patterning complex ILT shapes with high CD control and mask fidelity. Additionally, the MBM tool’s capability to use high dose on low sensitivity resist to improve resolution without any write time penalty enables realizing the complex mask shapes from the optical proximity correction (OPC) tool with high accuracy. However more complex CL mask shapes lead to an explosion in the vertex density (vertices/um^2) and file size at the mask shop vs. Manhattan corrections. This talk will review the benefits from CL corrections and present data collected at Intel mask operations (IMO) that outline challenges in processing CL masks through different modules including mask data prep (MDP), beam fracture, inspection and CD Metrology. A path for significant file size reduction with the new MULTIGON record extension to the P39 OASIS file format will be reviewed. MULTIGON insertion involves significant changes in the mask making ecosystem that includes electronic tool design (EDA) tools, MBM writers and inspection tools. A timeline for MULTIGON insertion aligned with the vendors would be reviewed with a goal of enabling MULTIGON in HVM in 2024.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For leading edge technology node, many proximity effects during mask manufacturing process will change the mask details. Model-based Mask error correction (MEC) is needed for ensuring the mask fidelity. With the development of multi beam mask writers (MBMW), curvilinear mask offers many quality and performance advantages over Manhattan mask. It offers superior process window comparing to Manhattan mask for EUV process. In this paper, we discuss the results of model based curvilinear MEC based on Proteus platform. The quality and performance were compared between conventional compact model and Machine-Learning (ML) models. ML-based model can be accurately predicting mask printing signatures otherwise could not be predicted by convection compact model. Integrating MEC into Proteus platform offers seamless flow between different applications, like OPC, ILT and RET while preserve the device hierarchy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper focuses on the application of photolithography, a widely adopted microfabrication technique, in the creation of SRG display. While photolithography is a well-established process, there exist notable challenges in utilizing it for SRG display manufacturing. This work addresses key concerns such as optical proximity correction (OPC), photomask quality, lithography materials, and optimal process conditions for achieving desirable waveguide performance. Moreover, this paper draws a comparison between the patterning requirements and differences in conventional semiconductor lithography and the lithography applied to AR display manufacture. By presenting an in-depth analysis of the intricacies associated with photolithography-based SRG display fabrication, this work aims to provide valuable insights into overcoming technical hurdles and enhancing the overall quality and efficiency of AR waveguide displays.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the quest of searching for the next disruptive mobile computing platform after smartphones, Augmented Reality (AR) glasses show exciting promise in allowing humans to seamlessly interface with the real and the virtual world in unprecedented ways. Metastructure based Surface Relief Gratings (SRG) is an emerging technology with powerful capabilities and flexibilities such as diffraction order control, polarization control, dispersion control, etc., potentially creating a truly immersive AR display that has high efficiency, contrast, resolution, is light weight and compact in form factor, all while coming at a lower cost. In this talk, the author will walk through the benefits metastructures can bring to AR display, and the unique challenges to nanofabrication pipeline for metastructure based waveguide, including layout generation, biasing, proximity correction, nanoimprint master and photolithography mask fabrication, and how various fabrication failures impact final device.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Heterogeneous Integration is one “More-than-Moore” strategy that can help continue the trend towards overall electronics system scaling and cost reduction. Heterogeneous Integration involves high-efficiency and high-density interconnection of multiple chiplets and/or dies using advanced packaging technologies to provide communication bandwidth beyond what can be accomplished through circuit scaling alone.
This paper introduces the FPA-5520iV and FPA-8000iW steppers that are designed to meet the requirements of sub-micron Heterogeneous Integration applications. Topics include warped substrate handling, panel substrate processing, die-by-die overlay of highly distorted substrates, high-fidelity imaging across large exposure fields and high-accuracy stitching for exposure fields larger than 1 reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion beam deposition (IBD) is the process-of-record for fabricating Mo/Si multilayers (MLs) for EUV mask blanks. This process affords outstanding ML performance in terms of defectivity, central wavelength control, and reflectivity. However, the above parameters are strongly dependent on physical and chemical inhomogeneities of the deposited MLs. Here we study systematically the effect of film purity, density, thickness uniformity, and interfacial roughness/intermixing, on the reflectance and imaging performance of Mo/Si MLs. Structural and spectral characteristics of ML are investigated experimentally, and the results compared to optical simulations. These findings may benefit the design and optimization of Mo/Si MLs with tailored spectral characteristics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In recent years, attenuated phase shift masks (AttPSM) for EUV lithography have gained attention as a contrast-enhancing and throughput-improving mask architecture. However, the relatively transparent nature of the absorber structure, combined with the inherently reflective nature of EUV photomasks, means that multilayer roughness effects must be carefully understood. Previous studies on tantalum masks have shown that, relative to target feature size, multilayer roughness has an increased anisotropic effect on aerial image roughness in High (0.55) NA EUV lithography as compared to 0.33NA in the shadowing direction despite the anamorphic demagnification1. These effects are presumably more severe for AttPSMs given the increased amount of light transmitted through the absorber-coated regions of the mask. More recent studies on AttPSM have shown that phase shifter thickness can be tuned to co-optimize NILS, MEEF, and throughput to mitigate local critical dimension uniformity effects arising from the mask absorber structure patterned atop the ideal multilayer2. In this paper, we utilize the methods detailed in Reference 1 to examine the impact of multilayer roughness on pattern roughness for AttPSM EUV masks, focusing on the mask stacks and patterns detailed in Reference 2. In particular, we seek to understand how multilayer roughness influences the choice of absorber stack material and thickness, both within the AttPSM family of designs, as well as relative to the traditional tantalum-based photomask design.
[1] Naulleau, P., Wang, Y.-G. and Pistor, T., “Extreme ultraviolet mask roughness effects in high numerical aperture lithography,” Appl Opt (2018).
[2] Ahn, C.-N., Nam, D.-S., Seong, N. and Yen, A., “Optical design of EUV attenuated PSM for contact-hole applications,” 19 February 2021, 12, SPIE-Intl Soc Optical Eng.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present the potential performance improvement provided by new materials and architectures for EUV Lithography. Typically EUV materials and their performance in photomasks are limited by either lack of absorption in an absorber layer, or too much absorption in a reflective multilayer. The fundamental absorption of materials is widely accepted as limiting at EUV wavelengths, as EUV radiation excites plasmons (quanta of charged coupled energy) above a given plasma frequency of the material. In the universe today very few materials exist that do not absorb. In this presentation we show how materials and architectures may be designed to modify the absorption of a material and therefore present more flexibility in lithography design and potential for new features and benefits in photomasks for high NA lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The EUV binary mask has been widely used since the EUV lithography was used for the high volume manufacturing of the leading edge semiconductor devices in 2019. The continuous advancement of the semiconductor devices requires the further improvements of the EUV lithography performance such as the resolution and imaging contrast. The EUV phase shift mask has been intensively investigated as one of candidate techniques to enhance the EUV lithography performance. However, the lithography performance of the EUV phase shift mask is relatively high sensitive to the phase shifter thickness, compared to the EUV binary mask, which is one of the potential concerns to implement the EUV phase shift mask. This paper will discuss about the dependence of the EUV lithography performance onto the phase shifter thickness and propose the unique EUV PSM blanks with less sensitive to the phase shifter thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mycronic assessed the market for Laser Mask Writers and concluded that there was an opportunity if the product was reliable, had high uptime, was cost-efficient to compete with fully depreciated writers and was sustainable including having a small carbon footprint. To achieve this, the platform needed to be modern allowing to support flexibility in a rapidly changing environment and enable the possibility to develop new functionalities to meet future customer demands to last long, while keeping the development cost down.
This paper describes the process and the decisions behind the SLX writer and how it has been received by the market. The future of the SLX will also be discussed and how key parameters like resolution and registration will be improved for future products.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Multi-Beam Mask Writer (MBMW) by IMS Nanofabrication has become the standard for photo mask patterning.
The new MBMW-100 Flex extends its capabilities for mature and advanced mask node applications, offering advantages over traditional Variable Shaped Beam (VSB) writers a favorable cost of ownership. It covers a wide range of node requirements, supports various write modes, and brings the benefits of multi-beam technology to mature mask nodes. It enables the use of advanced correction techniques and low-sensitivity resists, improving pattern fidelity. Based on the successful MBMW-201, it ensures reliability and productivity. The MBMW-100 Flex is an exciting development in multi-beam technology, providing superior capabilities and overcoming VSB technology limitations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV pods are used to transport, store and protect EUV masks in the photo lithography processes of advanced semiconductor fabs. The EUV pod has two main functions, 1) protecting EUV masks from contamination and physical damage, 2) providing interface with automation to ensure reliable sensing and pick-and-place. This paper provides an overview of the requirements of the EUV pod, as well as how to achieve the above two main functions in design, and how to ensure the EUV pod continues to perform these two functions well in use. On the design, we will be discussion the dual pod architecture, material choice considerations, dimensions, and weight. On the preventive maintenance, we will cover pod cleaning and inspection. Comparison between a pellicle-capable EUV pod and a non-pellicle capable EUV pod will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As semiconductor processes have been developed into nanometer-level processes, the transition from photo- to EUV-processes has accelerated for nano-pattern production. In line with this trend, the need for analytical techniques of sub-nanometer defects in 3D shapes and chemical components is significantly increasing. Correcting various types of defects in the EUV process becomes essential. We have developed defect characterization and defect-repairing techniques using nano-machining and AFM technology for the EUV and optical photomasks. The defects identified in the mask are primarily divided into a soft defect, which occurs during the process and sits randomly in the mask, and a hard defect, which mainly indicates a damaged or altered pattern. Based on the inline AFM system introduced as semiconductor inspection equipment, I would like to introduce the potential technologies to analyze the 3D shape and mechanical and chemical properties of defects occurring at the EUV or photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EB (electron beam) resist is widely used for the EUV (extreme ultraviolet) mask production. Tighter pitch size and smaller pattern features are required on EUV mask for the next generation EUV patterning. One of the most critical issues for EB lithography process is the stochastic issue which is induced by low density of quanta due to high energy of e-beam exposure. Such stochastic can induce the heterogeneity of various reactions in the photoresist. As a result, serious performance degradation is caused in key lithographic areas such as LWR (line width roughness) and resolution. It’s well known that quanta stochastic can be reduced by high dose condition. Thus, demand of high dose EB resist has been risen for further performance improvement. However, it's also well known that the side-reaction, such as cross-linking, is occurred in the photoresist using PHS (polyhydroxystyrene) based polymer on the high dose e-beam condition. In this study, several positive-tone chemically amplified resist (pCAR) formulations with the different materials were studied under Point-beam conditions to investigate the cross-linking influence on lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The program of the research and development (R&D) on the EUV lithography has begun at the Laboratory of Advanced Science and Technology for Industry, Himeji Institute Technology (HIT) (present University of Hyogo) using the NewSUBARU synchrotron light source since 1996. For the evaluation of EUV masks, it was prepared that 1) the in-band reflectometer, 2) the bright field EUV microscope for the defect inspection of EUV masks, and 3) the EUV coherent scatterometry microscope for the defect characterization of EUV masks. In addition, the large reflectometer for the measurement of a large collector mirror for the EUV-laser-produced-plasma light source was installed at the BL10B beamline. Recently, it is prepared that 1) the OoB reflectometer for the EUV mask evaluation at BL03, and 2) the EUV irradiation tools in hydrogen atmosphere up to 70 Pa and EUV power up to 30W/cm2 on a sample to evaluate the radiation hardness of the materials which is used for the EUV masks and pellicles at BL09.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This conference presentation was prepared for Photomask Technology 2023.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With EUV attenuated phase shift absorbers rapidly approaching maturity, actinic metrology soon will be required to ensure phase accuracy, uniformity, and stability. The target phase shift for these absorbers is carefully optimized to a value typically around 1.2pi for optimal printing. The additional 0.2pi is necessary due to mask 3D effects (M3D), which increasingly distort the near-field scattering and phase as the feature size is reduced. Therefore, EUV attenuated phase shift masks require phase metrology not only for large-area multilayer and absorber, but also for feature-dependent in-pattern phase. We demonstrate in-pattern phase measurement using spectroscopic variable angle scatterometry with the commercially available EUV Tech ENK (EUV n/k tool). We describe experiments validating the accuracy and precision of actinic scatterometry-based pattern phase measurements conducted on the ENK platform through direct comparison to synchrotron reference scattering measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern sampling for good OPC models becomes more complex when we consider the nature of a full curvilinear photomasks. Due to the continuously changing angle of post-OPC edges, all angle diffraction spectrum are created in the scanner pupil entrance. For modeling test patterns to cover the possible OPC shapes, various dimensions and curvatures are taken into consideration in the test pattern design. Compared to Manhattan patterns, curvilinear patterns in OPC model calibration requires a multitude of variables to obtain the same coverage. To make the data sampling more effective and efficient, a machine learning-based fuzzy classification of feature vectors is applied. SONR is used to cluster similar patterns based on factors directly related to printability. Then, a representative cluster is chosen to guarantee full coverage of different patterns on the full chip level. These patterns are then used to calibrate OPC models.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since IMS Nanofabrication introduced the MBMW series of multi-beam mask-writers in 2016, multi-beam technology has continued to improve and mature. Since then, multi-beam has increasingly become the standard solution for leading-edge mask patterning due to its superior writing performance on medium and low sensitivity resists, as well as its capability to pattern even the most complex curvilinear structures at maximum throughput - especially for EUV masks.
Following the release of evolutionary improvements with the MBMW-201 in 2019 and the MBMW-261 in 2022, IMS Nanofabrication releases its third multi-beam tool-generation in 2023: the MBMW-301 – a tool that is aimed at the 2nm-node and High-NA EUV mask production.
This presentation provides an overview of the key features of IMS Nanofabrication's latest generation of multi-beam mask-writers and discloses recent write performance results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High numerical aperture extreme ultraviolet (High NA EUV) lithography and the support of computational lithography are enabling the race towards smaller nodes. An important tool empowering this trend is the multi-beam mask writer (MBMW). Its most prominent feature is the so-called BLC (blanking-chip): a MEMS processed CMOS chip determining writing speed and precision. This paper describes the innovative MEMS process on the post processing of such a BLC. Key features of the blanking-chip are its 590k apertures and electrode pairs within an area of <15x15mm^2. The strict requirement on performance and cleanness of the chip on the comparably large area makes the MEMS process challenging. Intensive analysis and MEMS process tuning made it possible to complete the first fully processed 590k-BLCs with >99.5% of all apertures and electrodes being clean and defect free. Additionally, other crucial parameters such as blanking angle and crosstalk have met the required specification. The 590k-BLC was qualified in the first prototype next generation MBMW-301 ALPHA. Comparing it to the latest MBMW-201 generation, optical performance as image plane curvature and aberration blur of the beams are decreased by 31% and 41%, respectively. These results indicate the upcoming potential of the 590k-BLC within the MBMW-301 enabling highly improved spatial resolution and pattern fidelity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The high throughput time that can be achieved with laser-based lithography tools provide a tangible benefit for exposure of large areas with loose CD requirements. In this paper we present a recently developed DUV laser-based photolithography tool, supplied by Mycronic, that has been installed and qualified for optical and EUV lithography process at Intel Mask Operations. The tool utilizes a solid-state laser system for low power consumption and sustainable operation, modern electronics providing extensive logging capabilities, and an offline datapath that enables write times independent of pattern complexity. It also features multi-pass printing options that can be selected based on CD and REG requirements and throughput time, altogether providing flexibility and low cost of ownership. Tool specifications for critical dimensions and registration results will be presented in addition to tool matching and qualification data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In semiconductor manufacturing for the 3nm node, 2nm node and beyond generations, Extreme ultraviolet lithography (EUVL) is an essential technology, and within that, photomask technology plays an important role. Currently, photomasks for EUVL are manufactured with a multi beam mask writer (MBMW) that uses over 200,000 electron beams to achieve high efficiency and high precision. However, it is said that the chemical amplification resist for EB lithography has already reached its limit, and forming a 10nm pattern on a mask is extremely difficult. Last year, We reported mask development using Ultra High Resolution CAR resists. In this report, we present the latest mask development status using chemical amplification resists and alternative resists to achieve even higher resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ALTA 4700DP, a new design laser mask pattern generator (LMPGs), is constructed with multi-core CPU server. Different from the traditional hardware-based data path LMPGs, the integrated software features of ALTA 4700DP provide the compensation function for critical dimension (CD) variation which caused from the post-exposure processing of the plate. The process includes the post-exposure bake, the developing of the photoresist, and the etching of the chrome. Through the correction of the density-dependent errors and process-footprint errors, the global CD uniformity can be improved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With film thicknesses approaching a few monolayers in semiconductor processes, the chemical state and the cleanliness of the surfaces become critical in determining the outcome of many semiconductor processes. Currently available molecular analytical techniques with sufficient surface sensitivity such as XPS and ToF-SIMS lack the spatial resolution to analyze nanoscale defects and residues. While electron microscopy-based EDX can identify many atomic elements, they cannot provide chemical bonding information, which is needed to assess more accurately the nature and origin of the defects. In this paper, a relatively new hyperspectral technique called infrared photo-induced force microscopy (IR PiFM), which combines atomic force microscopy (AFM) and infrared (IR) spectroscopy with ~ 5 nm spatial resolution, is introduced. By utilizing a state-of-the-art tunable broadband IR laser, truly nanoscale PiF-IR spectra that agree with bulk FTIR spectra can be acquired without contact, i.e., it is non-contaminating and non-destructive, on films as thin as ~ 1 nm. PiF-IR spectra can be used to search existing IR databases to unambiguously identify the chemical species (both organic and inorganic molecules) of sub-20 nm defects and sub-monolayer residues via their IR signatures. Examples of defects and residues analyzed by IR PiFM system for 8” wafers and standard 6” photomasks are presented. For both types of samples, the system can automatically navigate to defect locations per defect map to acquire both topographical and chemical map images of the defects. PiF-IR spectra acquired on the defects and residue can be searched against Wiley’s KnowItAll IR database for potential matches.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
OPC model accuracy is an important contributor to the EPE budget in the latest lithography nodes. The overall OPC accuracy depends on accurate calibration of the sub-models capturing mask, optical, resist and etch effects. The advent of high-NA (0.55) EUV lithography with anamorphic imaging has further increased the emphasis on accurate aerial image model calibration for computational lithography. In this paper, we study the feasibility of using direct aerial image measurements with the ZEISS AIMS EUV tool for improving OPC model accuracy as well as accurate metrology of mask pattern variability, which are both relevant to EPE budgeting.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Digital lithography shortens development cycle time. Laser-based lithography is slow and lacks in overlay precision. The DIGITHO programmable photomask fits into standard photolithography steppers without system modifications. It can generate a different mask for each exposure. DIGITHO offers the most cost-effective solution for die-level serialization and fast prototyping to high throughput manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Opto-mechatronic systems are getting smaller and require higher accuracy. Improved surface particle cleanliness and defectivity control, preferably below the micrometer range, is required to keep performance and yield at the same level. To fill this need, the Fastmicro Product Scanner is developed to measure surface particle contamination levels directly on large product surfaces such as blank wafers, backside of wafers, reticles, pellicles (top & bottom), displays and other products.
At Photomask Technology 2022 Fastmicro presented the applicability of the Product Scanner to measure high-end EUV pellicles, including its future roadmap. This manuscript describes tests and results of several (pellicle) measurements over the last year and the next generation Product Scanner with its improved capabilities like:
- Improved sensitivity towards 200nm
- Pellicle pinhole detection
- Organic / non-organic particle detection
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.