Paper
20 October 2016 Anamorphic imaging at high-NA EUV: mask error factor and interaction between demagnification and lithographic metrics
Author Affiliations +
Proceedings Volume 10032, 32nd European Mask and Lithography Conference; 100320B (2016) https://doi.org/10.1117/12.2250630
Event: 32nd European Mask and Lithography Conference, 2016, Dresden, Germany
Abstract
This paper presents some of the main imaging properties introduced with the design of a possible new EUV High-NA (NA > 0.5) exposure system with anamorphic projection lens, a concept not new in optics but applied for the first time in semiconductor lithography. The system is projected to use a demagnification of 4 in the X-direction and of 8 in the Y-direction.

We show that a new definition of the Mask Error Factor needs to be used in order to describe correctly the property introduced by the anamorphic optics. Moreover, for both 1-Dimensional (1D) and 2-Dimensional (2D) features the reticle writing error in the low demagnification direction X is more critical than the error in high demagnification direction Y.

The effects of the change in demagnification on imaging are described on an elementary case, and are ultimately linked to the basic physical phenomenon of diffraction.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Gerardo Bottiglieri, Thorsten Last, Alberto Colina, Eelco van Setten, Gijsbert Rispens, Jan van Schoot, and Koen van Ingen Schenau "Anamorphic imaging at high-NA EUV: mask error factor and interaction between demagnification and lithographic metrics", Proc. SPIE 10032, 32nd European Mask and Lithography Conference, 100320B (20 October 2016); https://doi.org/10.1117/12.2250630
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Nanoimprint lithography

Semiconducting wafers

Reticles

Extreme ultraviolet

Reflectivity

Lithography

RELATED CONTENT

EUV lithography scanner for sub-8nm resolution
Proceedings of SPIE (March 16 2015)
Interactions of 3D mask effects and NA in EUV lithography
Proceedings of SPIE (November 08 2012)
Overlay challenges in the era of high-NA
Proceedings of SPIE (April 27 2023)
EUVL: transition from research to commercialization
Proceedings of SPIE (August 28 2003)
EUV lithography with the Alpha Demo Tools status and...
Proceedings of SPIE (March 13 2007)

Back to Top