Paper
20 October 2016 Nanoimprint system development and status for high volume semiconductor manufacturing
Hiromi Hiura, Yukio Takabayashi, Tsuneo Takashima, Keiji Emoto, Jin Choi, Phil Schumaker
Author Affiliations +
Proceedings Volume 10032, 32nd European Mask and Lithography Conference; 100320E (2016) https://doi.org/10.1117/12.2248363
Event: 32nd European Mask and Lithography Conference, 2016, Dresden, Germany
Abstract
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control and IP accuracy. In particular, by improving the specifications on the mask chuck, residual errors of only 1nm can be realized.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiromi Hiura, Yukio Takabayashi, Tsuneo Takashima, Keiji Emoto, Jin Choi, and Phil Schumaker "Nanoimprint system development and status for high volume semiconductor manufacturing", Proc. SPIE 10032, 32nd European Mask and Lithography Conference, 100320E (20 October 2016); https://doi.org/10.1117/12.2248363
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Particles

Nanoimprint lithography

Curtains

Lithography

Actuators

Back to Top