Presentation + Paper
31 March 2017 Free-electron laser emission architecture impact on EUV lithography
Erik R. Hosler, Obert R. Wood II, William A. Barletta
Author Affiliations +
Abstract
Laser-produced plasma (LPP) EUV sources have demonstrated approximately 125 W at customer sites, establishing confidence in EUV lithography as a viable manufacturing technology. However, beyond the 7 nm technology node existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multi-patterning (requiring increased wafer throughput proportional to the number of exposure passes. Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should free-electron lasers become the preferred next generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability.

A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) selfamplified spontaneous emission (SASE), (2) regenerative amplification (RAFEL), or (3) self-seeding (SS-FEL). Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provide a framework for future FEL design and enablement for EUV lithography applications.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Erik R. Hosler, Obert R. Wood II, and William A. Barletta "Free-electron laser emission architecture impact on EUV lithography", Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101431M (31 March 2017); https://doi.org/10.1117/12.2260452
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Free electron lasers

Extreme ultraviolet lithography

Extreme ultraviolet

Electron beams

Electrons

Lithography

Light sources

Back to Top