Presentation + Paper
19 March 2018 Attenuated PSM for EUV: Can they mitigate 3D mask effects?
Author Affiliations +
Abstract
The understanding, characterization and mitigation of 3D mask effects including telecentricity errors, contrast fading and best focus shifts becomes increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. The scattering of light at the absorber edges results in significant phase deformations, which impact the effective phase and the lithographic performance of attenuated phase shift mask (attPSM) for EUV. We employ rigorous mask and imaging simulations in combination with multi-objective optimization techniques to identify the most appropriate material properties, mask and source geometries and to explore the potential of attPSMs for future EUV imaging.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Andreas Erdmann, Peter Evanschitzky, Hazem Mesilhy, Vicky Philipsen, Eric Hendrickx, and Markus Bauer "Attenuated PSM for EUV: Can they mitigate 3D mask effects?", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 1058312 (19 March 2018); https://doi.org/10.1117/12.2299648
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Refractive index

Nickel

Ruthenium

Phase shifts

Extreme ultraviolet

Lithography

RELATED CONTENT

EUV mask polarization effects on sub-7nm node imaging
Proceedings of SPIE (March 23 2020)
Mask absorber for next generation EUV lithography
Proceedings of SPIE (October 20 2020)
Phase-shifting effect of thin-absorber EUV masks
Proceedings of SPIE (October 13 2011)

Back to Top