Presentation + Paper
27 March 2018 Constructing a robust PSCAR process for EUV
Author Affiliations +
Abstract
In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8.

PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only.

Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Michael Carcasi, Seiji Nagahara, Gosuke Shiraishi, Yukie Minekawa, Hiroyuki Ide, Yoshihiro Kondo, Kosuke Yoshihara, Masaru Tomono, Ryo Shimada, Kazuhiro Takeshita, Teruhiko Moriya, Yuya Kamei, Kathleen Nafus, Serge Biesemans, Hideo Nakashima, Masafumi Hori, Ken Maruyama, Hisashi Nakagawa, Tomoki Nagai, Satoshi Dei, Masayuki Miyake, Takehiko Naruoka, Motoyuki Shima, Geert Vandenberghe, Danilo De Simone, Philippe Foubert, John S. Petersen, Akihiro Oshima, and Seiichi Tagawa "Constructing a robust PSCAR process for EUV", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105831M (27 March 2018); https://doi.org/10.1117/12.2297370
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Ultraviolet radiation

Extreme ultraviolet lithography

Extreme ultraviolet

Absorbance

Optical lithography

Absorption

Line edge roughness

Back to Top