Presentation + Paper
17 April 2018 Utilization of metal-polymer interactions for self-aligned directed self-assembly of device relevant features
Author Affiliations +
Abstract
Self-aligned strategies are required because today’s feature sizes are beyond the resolution limit of the exposure tools. One self-aligned strategy is Directed Self-Assembly (DSA), where block copolymers (BCP) are thermodynamically driven to self-align with a lithographically defined template with chemical contrast and/or topography. Traditionally, DSA has harnessed polymer – polymer interactions to direct the assembly of BCP. A common implementation of this traditional process utilizes a binary chemical pattern which consists of a preferential homopolymer guide stripe and a non-preferential random copolymer background brush. This preferentiality is well understood by comparing the surface energies of the chemical patterns with those of the blocks of the BCP. The random copolymer naturally has a surface energy between the two blocks and is non-preferential; whereas the homopolymer surface energy matches that of one of the blocks and is therefore preferential. This technique requires the patterning of an additional polymer layer which will not be present in the final device, and is used only for directing the assembly. In spite of this, DSA is a promising technique for patterning due to its inherent ability for CD rectification and pitch multiplication. These inherent benefits are due to the complex thermodynamic process which underlies DSA which drives BCP to form only a given CD and Pitch. It would be particularly advantageous to also encode existing structures into thermodynamic information, then thermodynamics would cause BCP to self-align to these existing structures rectifying placement error. These existing features could be cut masks which are required in order to fabricate devices from line and space arrays. This information could also enforce alignment to active metal features. Here we show a new technique, by which metal – polymer interactions can be used in place of polymer – polymer interactions. These metal – polymer interactions, which cannot be adequately described by conventional surface energy comparisons, allow for a true self-aligned process. We begin by classifying process relevant metals including gold, aluminum, copper, tungsten and cobalt based upon their thermodynamic interactions with PS-b-MMA. We then created guide patterns using metal and dielectric line space arrays. These patterns when combined with DSA allow for lines and space patterns to be self-aligned to any exposed metal features and reduce process constraints on exposure tools. The BCP was thermodynamically driven to snap to the metal which could be a cut mask or could be an active interconnect. These BCP line and space patterns can then be transferred into the underlying substrate to produce sparse arrays of lines and spaces aligned with the underlying metal. Our process can also be used to align line and space patterns to metal layers during back end of the line processing. A similar process could also be used to guide contact hole shrink to correct for placement error in the initial lithographic template.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Moshe Dolejsi and Paul Nealey "Utilization of metal-polymer interactions for self-aligned directed self-assembly of device relevant features", Proc. SPIE 10586, Advances in Patterning Materials and Processes XXXV, 105860P (17 April 2018); https://doi.org/10.1117/12.2300859
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metals

Directed self assembly

Oxides

Copper

Polymethylmethacrylate

Dielectrics

Polymers

Back to Top