Paper
13 March 2018 Desirable material selection on self-aligned multi-patterning
Author Affiliations +
Abstract
For self-aligned multiple patterning, higher etch selectivity between mandrel and spacer is desired to lessen roughness, and thereby prevent pitch walk. We selected dual carbon layers as mandrels and silicon oxide films as spacers for a new self-aligned quadruple patterning process since they potentially provide infinite etch selectivity. We gained insolubility and etch selectivity between two carbon layers by infiltrating trimethylsilyldimethylamine into one of the carbon layers under the ambient atmosphere. Significantly, neither necking nor recess were observed when the spin-on-glass antireflective coating was removed. Thus, a SAQP scheme was developed and successfully demonstrated a sub15-nm halfpitch pattern. Additionally, this scheme improves affordability since all the processes can be performed in the ambient pressure within a coater module.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kyohei Koike, Kazuki Yamada, Masatoshi Yamato, Hidetami Yaegashi, Takehiro Seshimo, Takahiro Dazai, and Katsumi Ohmori "Desirable material selection on self-aligned multi-patterning", Proc. SPIE 10586, Advances in Patterning Materials and Processes XXXV, 105861F (13 March 2018); https://doi.org/10.1117/12.2297297
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Carbon

Silicon

Etching

Polymers

Scanning electron microscopy

System on a chip

Silicon carbide

RELATED CONTENT

Improved hemicellulose spin on carbon hardmask
Proceedings of SPIE (March 25 2019)
Planarized spin-on carbon hardmask
Proceedings of SPIE (March 23 2020)
Silicon Oxidation During Bilayer Resist Etching
Proceedings of SPIE (January 30 1989)
Double patterning of contact array with carbon polymer
Proceedings of SPIE (March 07 2008)

Back to Top