Presentation + Paper
3 October 2018 WEREWOLF: sensitivity optimization for early 7 nm EUV masks using an optical 19x nm inspection system
Karen Badger, Masashi Yonetani, Yusuke Toda, Masayuki Kagawa, Takeshi Isogawa, Jan Heumann
Author Affiliations +
Abstract
EUV (Extreme Ultraviolet) lithography is one of the key enabling techniques for imaging 7-nm node and beyond wafer technologies. To ensure mask quality levels will support High Volume Manufacturing (HVM), all “defects that matter”, must be identified and screened out before shipment to the wafer fab. Mask defects that matter are the ones that print during exposure at 13.5 nm wavelength. To support EUV development and production schedules, mask defectivity must be reduced to be at or near the optical defect levels. This task is complicated by the fact that actinic EUV mask inspectors are not currently available. In the absence of these EUV inspection tools, all available methods for detecting and characterizing defects must be deployed. Based on extensive deployment and on its record for reasonable throughput, 19x nm wavelength inspection is one of the strongest candidates available today for the initial EUV mask inspection approach. However, there are several key challenges with 19x nm optical inspection of EUV masks. Aside from the documented challenges of using a non-actinic wavelength, a key challenge is that the defect sensitivity varies based on pattern sizes and defect types and therefore, a wide range of pattern sizes and defect types need to be used to optimize inspection sensitivity. Through a variety of evaluations on simple test patterns, it has been confirmed that a combination of multiple focus offsets and polarization settings enables adequate sensitivity to meet early sensitivity requirements for 7 nm EUV production masks. As the result, focus offsets and polarization settings could be optimized to successfully develop new inspection recipes that could meet a target defect criteria with multi-pass inspection. [1] In this study, we will show inspection results of programmed defect macros (PDMs) based on actual EUV device constructs. Then, it will be discussed whether a combination of multiple focus offsets and polarizations is an effective approach to increase defect sensitivity on device patterns through the analysis of PDMs. We will demonstrate how inspection parameter optimization can be done to tailor 19x nm inspection to EUV device designs and what defect sizes and types are detectable with a 19x nm inspection system to assess capability for meeting the 7nm node development and production requirements. [1] Kazunori Seki et al., “Minimizing “Tone Reversal” during 19x nm Mask Inspection,” PMJ2018 6-2
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Karen Badger, Masashi Yonetani, Yusuke Toda, Masayuki Kagawa, Takeshi Isogawa, and Jan Heumann "WEREWOLF: sensitivity optimization for early 7 nm EUV masks using an optical 19x nm inspection system", Proc. SPIE 10810, Photomask Technology 2018, 1081008 (3 October 2018); https://doi.org/10.1117/12.2502560
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Inspection

Polarization

Extreme ultraviolet

Photomasks

Optical inspection

Defect detection

Semiconducting wafers

Back to Top