Presentation
14 March 2019 High-NA EUV lithography exposure tool progress
Author Affiliations +
Abstract
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jan van Schoot, Eelco van Setten, Kars Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd Lok, Judon Stoeldraijer, Jo Finders, Paul Graeupner, Joerg Zimmermann, Peter Kuerz, Marco Pieters, and Winfried Kaiser "High-NA EUV lithography exposure tool progress", Proc. SPIE 10957, Extreme Ultraviolet (EUV) Lithography X, 1095707 (14 March 2019); https://doi.org/10.1117/12.2515205
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

High volume manufacturing

Lens design

Polarization

Polarization control

Scanners

Sensors

Back to Top