Presentation + Paper
26 March 2019 High NA EUV lithography: Next step in EUV imaging
Author Affiliations +
Abstract
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Eelco van Setten, Gerardo Bottiglieri, John McNamara, Jan van Schoot, Kars Troost, Joseph Zekry, Timon Fliervoet, Stephen Hsu, Joerg Zimmermann, Matthias Roesch, Bartosz Bilski, and Paul Graeupner "High NA EUV lithography: Next step in EUV imaging ", Proc. SPIE 10957, Extreme Ultraviolet (EUV) Lithography X, 1095709 (26 March 2019); https://doi.org/10.1117/12.2514952
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Source mask optimization

Extreme ultraviolet

Extreme ultraviolet lithography

Scanners

Photomasks

Diffraction

RELATED CONTENT

EUV or 193i Who wins the center stage for...
Proceedings of SPIE (October 23 2015)
Extension of practical k1 limit in EUV lithography
Proceedings of SPIE (March 18 2016)
EUV high NA scanner and mask optimization for sub 8nm...
Proceedings of SPIE (March 18 2016)
Alternative reticles for low-k1 EUV imaging
Proceedings of SPIE (September 26 2019)

Back to Top