Presentation
25 March 2019 New PSCAR concept promising high sensitivity resist overcoming problems of RLS trade-off, LER and stochastic defects (Conference Presentation)
Author Affiliations +
Abstract
In order to lower the cost of ownership of extreme ultraviolet lithography (EUVL) in high volume manufacturing (HVM), high sensitivity resists enabling high throughput are being investigated intensely. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving resist sensitivity without losing other required performance of the resist1-8. NEW PSCAR concept is promising high sensitivity resist overcoming RLS Trade-off, LER and stochastic defects. Main components of New PSCAR are precursor of photosensitizer (PPS), photo base generator (PBG), and chemically amplified resist (CAR). CAR is generally divided into two types: high and low activation types. The details of these two types of CAR have been investigated by many researchers9,10. Here, three main reactions of the NEW PSCAR based on high activation type CAR which is the current high-performance CAR: the process (1): EUV pattern exposure produces acids. The acids react with both quenchers (neutralization of acid-quencher) 11-14 and PPS (acid catalytic reaction of photosensitizer (PS) formation) 1-8 at room temperature. Much higher concentration of PS can be produced in NEW PSCAR  than others, because the quencher concentration in CAR and PSCAR is generally much higher than NEW PSCAR. The process (2): Both acids and bases are generated in NEW PSCAR through excitation of PS and PBG by UV flood exposure, because only PS and PBG have absorption bands at UV flood exposure wavelength. The NEW PSCAR can produce high concentration of latent acids with the optimum latent acid-quencher distribution before PEB. The acid catalytic chain reaction (3) has been widely investigated all over the world and are reviewed15. Gallatin showed no fundamental differences in simulations among ArF, EB and EUV CARs after latent acid image formation before PEB16. There are many factors to affect LER formation17. The simulations indicate that LER is inversely proportional to the square root of exposure dose16,18-20. Experimentally LER decreases with increasing exposure dose21-24. NEW PSCAR can produce very high concentration of acid participating in deprotection reactions at image boundary, which reduces LER. It has been widely accepted that the main cause of LER in state-of-the-art resists is a chemical inhomogeneity generated at the image boundary 16,25-28. This suggests that LER is proportional to the width of the intermediate region. Because the width of the intermediate region can be approximated by using the chemical gradient (the gradient of the concentration of chemical compounds that determine the solubility of the resist), LER is inversely proportional to the chemical gradient29,30. Stochastic defects such as missing and kissing contact holes must be eliminated for EUVL HVM. But the detailed contribution of many factors affecting stochastic defects and the solution are not made clear in CAR. But decreasing LER and keeping pattern size made by enough latent acid concentration with optimum latent acid-quencher distribution before PEB suppress stochastic defects. For example, enough acid concentration suppresses missing contact holes and both decreasing LER and keeping pattern size suppress kissing contact holes. NEW PSCAR concept is promising high sensitivity resist overcoming RLS Trade-off, LER and stochastic defects. References 1) Seiichi Tagawa, Akihiro Oshima, Satoshi Enomoto, “Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process”, Journal of Photopolymer Science and Technology, Vol. 26 (2013) No. 6, 825-830. 2) Seiichi Tagawa et al., Proc. SPIE. 9048, Extreme Ultraviolet (EUV) Lithography V, (2014) 90481S. 3) Seiji Nagahara et al., “Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure,” Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII, (2016) 977607. 4) Tomoki Nagai et. Al., “Novel high sensitivity EUV photoresist for sub-7nm node”, Proc. SPIE. 9779, Advances in Patterning Materials and Processes XXXIII, (2016) 977908. 5) Elizabeth Buitrago et al., “Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography,” J. Micro/Nanolith. MEMS MOEMS. 2016, 15(3), 033502. 6) Michael Carcasi et al., “Simulation and experimentation of PSCAR chemistry for complex structures”, Proc. SPIE Vol. (2017)10143. 7) Seiji Nagahara et al., Proc. SPIE, 10146 (2017) 101460G. 8) Seiji Nagahara et al., “EUV resist sensitization and roughness improvement by PSCARTM with in-line UV flood exposure system,” Proc. SPIE, 10586 (2018) 10586-5. 9) T. Kozawa and S, Tagawa, Appl. Phys. Xpress 2 (2009) 056503 10) T. Kozawa and S, Tagawa, Jpn. J. Appl. Phys. 49 (2010) 06GF02 11) K. Asakawa, T. Ushirogouchi, and M. Nakase, Proc. SPIE 2438 (1995) 563 12) W. D. Hinsberg et al., Proc. SPIE 3999 (2000) 148 13) K. Natsuda, T. Kozawa, K. Okamoto, S. Tagawa, Jpn. J. Appl. Phys. 45 (2006) L1256 14) K. Natsuda, T. Kozawa, K. Okamoto, S. Tagawa, Jpn. J. Appl. Phys. 46 (2007) 7285 15) T. Kozawa and S, Tagawa, Jpn. J. Appl. Phys. 49 (2010) 030001 16) G. M. Gallatin, Proc. SPIE 5754 (2005) 38 17) Summarized in T. Kozawa, S. Tagawa, J. Vac. Sci. Technol. B 25 (2007) 2295 18) G. M. Gallatin, P. Naulleau, D. Niakoula, R. Brainard, E. Hassanein, R. Matyi, J. Thackeray, K. Spear, K. Dean, Proc. SPIE 6921 (2008) 69211E 19) D. Van Steenwinkel, R. Gronheid, J. H. Lammers, A. M. Meyers, F. Van Roey, P. Willems, Proc. SPIE 6519 (2007) 65190V 20) D. Van Steenwinkel, R. Gronheid, F. Van Roey, P. Willems, J. H. Lammers, J. Micro/Nanolithogr. MEMS, MOEMS 7 (2008)023002 21) A. R. Pawloski, A. Acheta, I. Lalovic, B. L. Fontaine, H. J. Levinson, SPIE 5376 (2004) 414 22) V. Constantoudis, E. Gogolides, A. Tserepi, C. D. Diakoumakos, E.S.Valamontes, Microelectoron. Eng. 61-62 (2002) 793 23) P. M. Dentinger, L. L. Hunter, D. J. O’connel, S. Gunn, D. Goods, T. H. Fedynyshyn, R. B. Goodman, D. K. Astolfi, J. Vac. Sci. Technol. B 20 (2002) 2962 24) H. Yamamoto, T. Kozawa, A. Saeki, K. Okamoto, S. Tagawa, K. Ohmori, M. Sato, H. Komano, Jpn.J. Appl. Phys. 46 (2007) 6187 25) W. Hinsberg, F. A. Houle, J. Hoffnagle, M. I. Sanchez, G. M. Wallraff, M. Morrison, S. Frank, J. Vac. Sci. Technol. B 16 (1998) 3689 26) S. C. Palmateer, S. G. Cann, J. E. Curtin, S. P. Doran, L. M. Eriksen, A. R. Forte, R.R. Kunz, T. M. Lyszczarz, M. B. Stern, C. M. Nelson-Thomas, Proc. SPIE, 3333 (1998) 634 27) G. W. Reynolds, J. W. Taylor, J. Vac. Sci. Technol. B 17 (1999) 334 28) J. Shin, G. Han, Y. Ma, K. Moloni, F. Cerrina, J. Vac. Sci. Technol. B 19 (2001) 2890 29) T. Kozawa, H. Oizumi, T. Itani, S.Tagawa, “Relationship between Chemical Gradient and Line Edge Roughness of Chemically Amplified Extreme Ultraviolet Resist,” Appl. Phys. Express 3 (2010) 036501 30) C. Mack, “A Simple Model of Line-Edge Roughness,” Future Fab International, Issue 34 (2010)
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Seiichi Tagawa "New PSCAR concept promising high sensitivity resist overcoming problems of RLS trade-off, LER and stochastic defects (Conference Presentation)", Proc. SPIE 10960, Advances in Patterning Materials and Processes XXXVI, 109600E (25 March 2019); https://doi.org/10.1117/12.2514817
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

Floods

Lithography

Stochastic processes

Extreme ultraviolet

Chemically amplified resists

Ultraviolet radiation

Back to Top