Paper
23 March 2020 EUV mask infrastructure and actinic pattern mask inspection
Author Affiliations +
Abstract
With the persistent drive to enable EUV lithography (EUVL) for the continuation of pattern scaling and the close collaborations between suppliers and customers, tremendous progress has been made in the last five years in EUV mask infrastructure development. With the advent of actinic pattern mask inspection (APMI) tool, the only remaining EUV mask infrastructure gap until recently has been closed. We will present real-case examples from inspection of 7nm and 5nm logic node EUV masks with APMI in operation at Intel mask shop and demonstrate that actinic inspection provides defect detection capability beyond the traditional DUV optical and e-beam mask inspection (EBMI) tools for defect control and the guaranty of mask quality. In addition to the main focus on APMI and through-pellicle inspection in this paper, we also provide a brief discussion of other key EUV infrastructure modules for mask production in current EUVL at 0.33NA and future technology extension to enable high NA EUVL at 0.55NA.
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ted Liang, Yoshihiro Tezuka, Marieke Jager, Kishore Chakravorty, Safak Sayan, Eric Frendberg, Srinath Satyanarayana, Firoz Ghadiali, Guojing Zhang, and Frank Abboud "EUV mask infrastructure and actinic pattern mask inspection", Proc. SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, 1132310 (23 March 2020); https://doi.org/10.1117/12.2554496
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Inspection

Extreme ultraviolet

Pellicles

Extreme ultraviolet lithography

Defect detection

Optical inspection

Back to Top