Poster + Presentation + Paper
14 October 2020 Alternative EUVL resist processes for stochastic defect reduction
Author Affiliations +
Conference Poster
Abstract
Investigations on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution for extreme ultraviolet lithography (EUVL) were carried out. Utilizing a widely-used chemically amplified resist, it was found that the ETMAH developer solution has no negative impact on lithographic performance; resolution, line width / line edge roughness, and sensitivity (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH). Stochastic defectivity analysis was performed by considering the effect of line-break (in the overdose region) and line-bridge defects (in the underdose region), on exposure latitude (ELX) and critical dimension or CD margin (CDMX). Results show that the ETMAH improves ELX and CDMX by around 10% and 5%, respectively at a lower developer solution concentration of 0.20N. These results confirmed the capability of the ETMAH in reducing resist-based stochastic defects, demonstrating its potential as an alternative developer solution for EUVL.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Julius Joseph Santillan, Masahiko Harumoto, Harold Stokes, Chisayo Mori, Yuji Tanaka, Tomohiro Motono, Masaya Asai, and Toshiro Itani "Alternative EUVL resist processes for stochastic defect reduction", Proc. SPIE 11517, Extreme Ultraviolet Lithography 2020, 1151718 (14 October 2020); https://doi.org/10.1117/12.2572771
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Stochastic processes

Photoresist processing

Extreme ultraviolet lithography

Optical lithography

Standards development

Bridges

Line width roughness

Back to Top