Paper
28 May 2004 Benefiting from polarization effects on high-NA imaging
Author Affiliations +
Abstract
The onset of lithographic technology involving extreme numerical aperture (NA) values introduces critical technical issues that are now receiving particular attention. Projection lithography with NA values above 0.90 is necessary for future generation devices. The introduction of immersion lithography enables even larger angles, resulting in NA values of 1.2 and above. The imaging effects from oblique angles, electric field polarization, optical interference, optical reflection, and aberration can be significant. This paper addresses polarization considerations at critical locations in the optical path of a projection system, namely in the illuminator, at the mask, and in the photoresist. Several issues are addressed including TE and azimuthal polarized illumination, wire grid polarization effects for real thin film mask materials, and multilayer resist AR coatings for high NA and polarization.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Bruce W. Smith, Lena V. Zavyalova, and Andrew Estroff "Benefiting from polarization effects on high-NA imaging", Proc. SPIE 5377, Optical Microlithography XVII, (28 May 2004); https://doi.org/10.1117/12.537266
Lens.org Logo
CITATIONS
Cited by 24 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Polarization

Reflectivity

Dielectric polarization

Diffraction

Photomasks

Polarizers

Thin films

Back to Top