Paper
2 June 2004 Effect of electrostatic chucking on EUVL mask flatness
Author Affiliations +
Proceedings Volume 5504, 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents; (2004) https://doi.org/10.1117/12.568014
Event: 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents, 2004, Dresden, Germany
Abstract
The International Technology Roadmap for Semiconductors for Extreme Ultraviolet Lithography (EUVL) places strict requirements on the quality and flatness of the substrate and patterned mask. The SEMI EUVL Mask Substrate Standard (SEMI P37) specifies that the substrate frontside and backside nonflatness be no more than 50 nm peak-to-valley (p-v). Recent technological advances in polishing and finishing techniques have placed the 50 nm p-v specification within reach. A key ingredient in the development of EUVL is understanding and characterizing the clamping ability of the electrostatic chuck and the resulting effect on the flatness of the chucked mask. By implementing the shape of a representative EUVL mask surface into a numerical model, the effect of electrostatic chucking on the shape of the mask was determined. Legendre polynomials have been identified as an effective and efficient means of representing EUVL mask surface shapes. Finite element (FE) models have been developed to utilize the Legendre coefficients as input data to define the surfaces of an EUVL mask. The FE models were then used to determine the clamping response of the mask. In particular, the maximum mask-to-chuck gap within the Flatness Quality Area and over the entire mask has been tracked as a function of clamping pressure for representative EUVL surfaces. One of the important parameters in this study was the chuck's mechanical stiffness (comprised of the thickness and modulus). The flatness of the EUVL mask also depends on the intrinsic stress and thickness of the multilayer and backside layers. The results in this paper show that the recent advances in EUVL substrate polishing have resulted in masks that can be chucked relatively flat.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Andrew R. Mikkelson, Roxann L. Engelstad, Edward G. Lovell, Lutz Aschke, Frauke Rueggeberg, and Frank Sobel "Effect of electrostatic chucking on EUVL mask flatness", Proc. SPIE 5504, 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents, (2 June 2004); https://doi.org/10.1117/12.568014
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Polishing

Multilayers

Surface finishing

Data modeling

Finite element methods

RELATED CONTENT

Production challenges of making an EUV mask blank
Proceedings of SPIE (June 02 2004)
Update on the EUVL mask blank activity at Schott Lithotec
Proceedings of SPIE (December 17 2003)
Low-thermal-expansion material for EUV applications
Proceedings of SPIE (December 17 2003)
Strength of glass from Hertzian line contact
Proceedings of SPIE (September 24 2011)

Back to Top