Paper
10 May 2005 Comprehensive CD uniformity control across lithography and etch
Author Affiliations +
Abstract
It has been shown that across-wafer CD (critical dimension) uniformity can be improved by compensating for systematic CD variations through the litho-etch sequence by tuning the across-wafer PEB temperature profile1. Earlier work describes the approach to enhance post-develop CD uniformity (CDU) utilizing temperature-to-offset model in conjunction with resist CD's PEB sensitivity. Taking into consideration CD variation induced by plasma etch, we then develop a methodology to improve the post-etch poly CDU. This is done using constrained quadratic optimization techniques. Considering the fact that isolated, semi-isolated and dense lines in multiple sizes coexist on an actual chip, it is desirable to have simultaneous CDU control for isolated, semi-islolated and dense lines of various sizes. In this paper we expand our CDU control concept to simultaneous CDU control for multiple CD targets and propose the use of multi-objective and minimax optimization schemes. A combination of experimental and simulated runs is used to test this approach.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Qiaolin Zhang, Cherry Tang, Tony Hsieh, Nick Maccrae, Bhanwar Singh, Kameshwar Poolla, and Costas J. Spanos "Comprehensive CD uniformity control across lithography and etch", Proc. SPIE 5752, Metrology, Inspection, and Process Control for Microlithography XIX, (10 May 2005); https://doi.org/10.1117/12.601087
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Plasma

Plasma etching

Etching

Control systems

Semiconducting wafers

Lithography

Back to Top