Paper
18 May 2006 Carrier transport characterization of high-density plasma-induced p-to-n type converted MWIR HgCdTe material
Author Affiliations +
Abstract
Exposure of p-type HgCdTe material to H2-based plasma is known to result in p-to-n conductivity type conversion. While this phenomenon is generally undesirable when aiming to perform physical etching for device delineation and electrical isolation, it can be utilized in a novel process for formation of n-on-p junctions. The properties of this n-type converted material are dependent on the condition of the plasma to which it is exposed. This paper investigates the effect of varying the plasma process parameters in an inductively coupled plasma reactive ion etching (ICPRIE) tool on the carrier transport properties of the p-to-n type converted material. Quantitative mobility spectrum analysis of variable-field Hall and resistivity data has been used to extract the carrier transport properties. In the parameter space investigated, the n-type converted layer carrier transport properties and depth have been found to be most sensitive to the plasma process pressure and temperature. The levels of both RIE and ICP power have also been found to have a significant influence.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
B. A. Park, C. A. Musca, J. Antoszewski, T. Nguyen, K. J. Winchester, J. M. Dell, and L. Faraone "Carrier transport characterization of high-density plasma-induced p-to-n type converted MWIR HgCdTe material", Proc. SPIE 6206, Infrared Technology and Applications XXXII, 62062G (18 May 2006); https://doi.org/10.1117/12.664872
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Plasma

Reactive ion etching

Etching

Mercury cadmium telluride

Argon

Ions

Temperature metrology

RELATED CONTENT


Back to Top