Paper
21 March 2008 The study of attenuated PSM structure for extreme ultraviolet lithography with minimized mask shadowing effect
Author Affiliations +
Abstract
In this paper, we suggest an optimal attenuated phase shift mask (PSM) structure for extreme ultra violet lithography (EUVL) to minimize mask shadowing effect without loss of image contrast. The attenuated PSM proposed in this study is based on Fabry-Perot structure that consists of tantalum nitride (TaN) attenuator, Al2O3 spacer, and molybdenum (Mo) phase shifter. Deep ultra violet (DUV) reflectivity can be lowered down to 5% at 257nm for higher efficiency in DUV inspection process through the optimal thickness combination of TaN and Al2O3. Since the thickness variation of Mo dose not affect the DUV reflectivity, the phase shift effect can be controlled by Mo thickness only. As a result, attenuated PSM with phase shift of 180±6° and absorber reflectivity of 9.5% could be obtained. The total thickness of absorber stack is only 52nm. The analysis of aerial image was performed using SOLID-EUV simulation tool. The attenuated PSM showed steeper edge profile and higher image contrast compared to binary mask. Imaging properties including horizontal-vertical (H-V) critical dimension (CD) bias and pattern shift depending on both pattern size and process condition were compared to the binary mask using aerial image simulation. Attenuated PSM showed less H-V CD bias compared to that of binary mask. The 32nm dense pattern shows larger H-V CD bias than 45nm one due to larger shadowing of smaller pattern size. Especially, 32nm dense pattern at binary mask has very large H-V CD bias. The H-V CD bias was also affected by the change of focus. However, the H-V bias variation with defocus was below 1nm within the process latitude. We also obtained the result that the pattern shift is less sensitive than H-V CD bias with the optical property of absorber in EUVL.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chang Young Jeong, Byung Hun Kim, Tae Geun Kim, Sangsul Lee, Eun Jin Kim, Hye-Keun Oh, In-Sung Park, and Jinho Ahn "The study of attenuated PSM structure for extreme ultraviolet lithography with minimized mask shadowing effect", Proc. SPIE 6921, Emerging Lithographic Technologies XII, 69213Q (21 March 2008); https://doi.org/10.1117/12.772533
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reflectivity

Photomasks

Critical dimension metrology

Binary data

Extreme ultraviolet lithography

Phase shifts

Molybdenum

Back to Top