Paper
23 March 2009 Assumptions and trade-offs of extreme ultraviolet optics contamination modeling
Author Affiliations +
Abstract
Extreme ultraviolet (EUV) lithography is one of the most promising candidates for device patterning at the 22 nm halfpitch node. The contamination of extreme ultraviolet optics has consistently been listed among the top challenges for the commercialization of EUV lithography. In a lithography exposure tool under radiation exposure, the two main mechanisms that degrade reflectivity of EUV molybdenum/silicon multilayer optics are carbonization and oxidation. The accumulation of carbon on the mirror surfaces is a consequence of residual hydrocarbons and/or other carbon containing molecules, while oxidation is likely due to water vapor. Theoretical and numerical modeling of EUV optics and mask contamination kinetics can provide valuable insight into reaction mechanisms and help identify favorable conditions that suppress contamination accumulation. However, the complexity of the underlying surface chemistry currently renders obtaining predictive quality challenging. We investigate the validity of different model assumptions and present preliminary numerical results on the dependence of contamination rates on key parameters including the effect of out-of-band radiation.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
V. Jindal, R. Garg, G. Denbeaux, and A. Wüest "Assumptions and trade-offs of extreme ultraviolet optics contamination modeling", Proc. SPIE 7271, Alternative Lithographic Technologies, 72713Q (23 March 2009); https://doi.org/10.1117/12.814188
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Carbon

Contamination

Molecules

Electrons

Radiation effects

EUV optics

Extreme ultraviolet lithography

Back to Top