Paper
24 September 2010 Process window improvement on 45nm technology non volatile memory by CD uniformity improvement
Ute Buttgereit, Robert Birkner, Erez Graitzer, Avi Cohen, Benedetta Triulzi, Carmelo Romeo
Author Affiliations +
Abstract
For the next years optical lithography stays at 193nm with a numerical aperture of 1.35. Mask design becomes more complex, mask and lithography specification tighten and process control becomes more important than ever. Accurate process control is a key factor to success to maintain a high yield in chip production. One of the key parameters necessary to assure a good and reliable functionality of any integrated circuit is the Critical Dimension Uniformity (CDU). There are different contributors which impact the total wafer CDU: mask CD uniformity, scanner repeatability, resist process, lens fingerprint, wafer topography etc. In this work we focus on improvement of intra-field CDU at wafer level by improving the mask CD signature using a CDC200TM tool from Carl Zeiss SMS. The mask layout used is a line and space dark level of a 45nm node Non Volatile Memory (NVM). A prerequisite to improve intra-field CDU at wafer level is to characterize the mask CD signature precisely. For CD measurement on mask the newly developed wafer level CD metrology tool WLCD32 of Carl Zeiss SMS was used. The WLCD32 measures CD based on proven aerial imaging technology. The WLCD32 measurement data show an excellent correlation to wafer CD data. For CDU correction the CDC200TM tool is used which utilizes an ultrafast femto-second laser to write intra-volume shading elements (Shade-In ElementsTM) inside the bulk material of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. In the present work we will demonstrate a closed loop process of WLCD32 and CDC200TM to improve mask CD signature as one of the main contributors to intra-field wafer CDU. Furthermore we will show that the process window will be significantly enlarged by improvement of intra-field CDU. An increase of 20% in exposure latitude was observed.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ute Buttgereit, Robert Birkner, Erez Graitzer, Avi Cohen, Benedetta Triulzi, and Carmelo Romeo "Process window improvement on 45nm technology non volatile memory by CD uniformity improvement", Proc. SPIE 7823, Photomask Technology 2010, 78230C (24 September 2010); https://doi.org/10.1117/12.865484
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Semiconducting wafers

Photomasks

Lithography

Scanners

Scatterometry

Calibration

Back to Top