Paper
24 September 2010 Writing 32nm-hp contacts with curvilinear assist features
Aki Fujimura, David Kim, Ingo Bork, Christophe Pierrat
Author Affiliations +
Abstract
In writing contacts at 32nm half-pitch with 193nm immersion lithography, circular main features and curvilinear subresolution assist features will be desirable on masks. Using conventional methods, the best depth of focus, exposure latitude, and critical dimension uniformity on wafer could only be achieved with unrealizable mask write times. Previous papers have described a gradual improvement over the past two years to avoid this trade-off. For example, Manhattanization of the shapes generated by inverse lithography techniques has reduced the required shot count while maintaining best process windows. Using the MB-MDP technique, total shot count required to print such Manhattanized assist features is further reduced significantly. This paper is the first to present test writing results of 32nm-hp patterns using a conventional variable shaped beam mask writer with the new MB-MDP technique. Using this new technique, best process window and improved critical dimension uniformity are achieved while demonstrating reduced shot count. SEM images of resist patterns written by a production mask writer will be shown.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Aki Fujimura, David Kim, Ingo Bork, and Christophe Pierrat "Writing 32nm-hp contacts with curvilinear assist features", Proc. SPIE 7823, Photomask Technology 2010, 78230R (24 September 2010); https://doi.org/10.1117/12.864184
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

SRAF

Semiconducting wafers

Vestigial sideband modulation

Lithography

Printing

Scanning electron microscopy

Back to Top