Paper
24 September 2010 An optimized OPC and MDP flow for reducing mask write time and mask cost
Author Affiliations +
Abstract
In the process of optical proximity correction, layout edge or fragment is migrating to proper position in order to minimize edge placement error (EPE). During this fragment migration, several factors other than EPE can be also taken into account as a part of cost function for optimal fragment displacement. Several factors are devised in favor of OPC stability, which can accommodate room for high mask error enhancement factor (MEEF), lack of process window, catastrophic pattern failure such as pinch/bridge and improper fragmentation. As technology node becomes finer, there happens conflict between OPC accuracy and stability. Especially for metal layers, OPC has focused on the stability by loss of accurate OPC results. On this purpose, several techniques have been introduced, which are target smoothing, process window aware OPC, model-based retargeting and adaptive OPC. By utilizing those techniques, OPC enables more stabilized patterning, instead of realizing design target exactly on wafer. Inevitably, post-OPC layouts become more complicated because those techniques invoke additional edge, or fragments prior to correction or during OPC iteration. As a result, jogs of post OPC layer can be dramatically increased, which results in huge number of shot count after data fracturing. In other words, there is trade-off relationship between data complexity and various methods for OPC stability. In this paper, those relationships have been investigated with respect to several technology nodes. The mask shot count reduction is achieved by reducing the number of jogs with which EPE difference are within pre-specified value. The effect of jog smoothing on OPC output - in view of OPC performance and mask data preparation - was studied quantitatively for respective technology nodes.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ellyn Yang, Cheng He Li, Se Jin Park, Yu Zhu, and Eric Guo "An optimized OPC and MDP flow for reducing mask write time and mask cost", Proc. SPIE 7823, Photomask Technology 2010, 78233E (24 September 2010); https://doi.org/10.1117/12.864790
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical proximity correction

Photomasks

Logic

Metals

Resolution enhancement technologies

Model-based design

Semiconducting wafers

RELATED CONTENT


Back to Top