Paper
20 April 2011 Impact of pellicle on overlay in double patterning lithography
Oliver Loeffler, Frank Laske, Michael Ferber, Klaus-Dieter Roeth, Lin Chua, You Seung Jin, Gino Marcuccilli, Venkat Nagaswami
Author Affiliations +
Abstract
Reticle Pattern Placement Error (PPE) has been identified as one of the key challenges of Double-Patterning Lithography (DPL) as the overlay of the circuit patterns between two masks is a critical achievement for successful implementation of the process. According to the 2009 ITRS roadmap, double-patterning lithography is expected to extend 193nm immersion lithography to the 23nm node by 2016 and the corresponding PPE requirements is 1.9nm. PPE between the two masks comprising a DPL mask pair affects the resulting critical dimensions of the circuit pattern and the final device performance. In this paper, we study how the reticle PPE can be affected by the pellicle. The pellicle can induce a mechanical stress on the reticle such that the actual placement of the circuit patterns on wafer will be distorted after the lithography process. This distortion effect is known as Pellicle-Induced Distortion (PID). We conducted experiments by using different combinations of pellicle frames and frame adhesives on a DPL mask pair to study how reticle PPE can change with each combination. We used the KLA-Tencor LMS IPRO4 mask registration metrology tool to measure the PPE before and after the mount/un-mount of each experiment combination. The analysis is done using the KLA-Tencor DEVA software to quantify how the pellicle can affect the individual reticle PPE and also the relative errors between the DPL mask pair.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Oliver Loeffler, Frank Laske, Michael Ferber, Klaus-Dieter Roeth, Lin Chua, You Seung Jin, Gino Marcuccilli, and Venkat Nagaswami "Impact of pellicle on overlay in double patterning lithography", Proc. SPIE 7971, Metrology, Inspection, and Process Control for Microlithography XXV, 79712D (20 April 2011); https://doi.org/10.1117/12.879905
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Pellicles

Photomasks

Reticles

Personal protective equipment

Double patterning technology

Lithography

Distortion

Back to Top