Paper
15 April 2011 Developable BARC (DBARC) technology as a solution to today's implant lithography challenges
James Cameron, Jin Wuk Sung, Sabrina Wong, Adam Ware, Yoshihiro Yamamoto, Hiroaki Kitaguchi, Libor Vyklicky, Steve Holmes, Irene Popova, Ranee Kwong, Pushkara Rao Varanasi
Author Affiliations +
Abstract
As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
James Cameron, Jin Wuk Sung, Sabrina Wong, Adam Ware, Yoshihiro Yamamoto, Hiroaki Kitaguchi, Libor Vyklicky, Steve Holmes, Irene Popova, Ranee Kwong, and Pushkara Rao Varanasi "Developable BARC (DBARC) technology as a solution to today's implant lithography challenges", Proc. SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 797214 (15 April 2011); https://doi.org/10.1117/12.881614
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Optical lithography

Control systems

Reflectivity

Coating

Photoresist processing

Antireflective coatings

Back to Top