Paper
15 April 2011 Plasma etching of high-resolution features in a fullerene molecular resist
J. Manyam, M. Manickam, J. A. Preece, R. E. Palmer, A. P. G. Robinson
Author Affiliations +
Abstract
As resist films become thinner, so as to reduce problems of aspect ratio related pattern collapse at high-resolution, it is becoming increasingly difficult to transfer patterns with useful aspect ratio by directly etching the resist. It has become common to use the photoresist to pattern an intermediate hardmask, which then protects the silicon substrate during etching, allowing useful aspect ratios but adding process complexity. We have previously described a fullerene based electron beam lithography resist capable of 20 nm halfpitch and 12 nm sparse features, at a sensitivity of less than 10 μC/cm2 at 20 keV. The fullerene resist has high etch durability - comparable to that of commercial novolac resists - and has previously demonstrated an etch selectivity of 3:1 to silicon using electron cyclotron resonance microwave plasma etching with SF6. Here a study of the capabilities of this resist when using Inductively Coupled Plasma etching is presented. Line-space patterns with half-pitches in the range 25 nm to 100 nm, together with sparse features (~20 nm linewidth on a 200 nm pitch) were produced in ~30 nm thick resist films using electron beam lithography, and transferred to silicon using an inductively coupled plasma etcher. Several combinations of SF6, CF4, CHF3 and C4F8process gases were explored. Etch selectivity and anisotropy were studied as a range of etching parameters, such as ICP and RF power, gas flow rate, pressure and temperature were varied. Etch selectivities in excess of 9:1 were demonstrated. Techniques for minimizing aspect ratio dependent etching effects in dense features, including the use of ashing or high etching pressures were also examined.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
J. Manyam, M. Manickam, J. A. Preece, R. E. Palmer, and A. P. G. Robinson "Plasma etching of high-resolution features in a fullerene molecular resist", Proc. SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722N (15 April 2011); https://doi.org/10.1117/12.879469
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Silicon

Fullerenes

Plasma

Plasma etching

Photoresist processing

Oxygen

Back to Top