Paper
22 March 2011 Combined overlay, focus and CD metrology for leading edge lithography
Martin Ebert, Hugo Cramer, Wim Tel, Michael Kubis, Henry Megens
Author Affiliations +
Abstract
As leading edge lithography moves to 22-nm design rules, low k1 technologies like double patterning are the new resolution enablers, and system control and setup are the new drivers to meet remarkably tight process requirements. The way of thinking and executing setup and control of lithography scanners is changing in four ways. First, unusually tight process tolerances call for very dense sampling [1], which in effect means measurements at high throughput combined with high order modeling and corrections to compensate for wafer spatial fingerprint. Second, complex interactions between scanner and process no longer allow separation of error sources through traditional metrology approaches, which are based on using one set of metrology tools and methods for setup and another for scanner performance control. Moreover, setup and control of overlay is done independently from CD uniformity, which in effect leads to independent and conflicting adjustments for the scanner. Third, traditional CD setup and control is based on the focus and dose calculated from their CD response and not from measurement of their effect on pattern profile, which allows a clean and orthogonal de-convolution of focus and dose variations across the wafer. Fourth, scanner setup and control has to take into consideration the final goal of lithography, which is the accurate printing of a complex pattern describing a real device layout. To this end we introduce a new setup and control metrology step: measuring-to-match scanner 1D and 2D proximity. In this paper we will describe the strategy for setup and control of overlay, focus, CD and proximity based on the YieldStarTM metrology tool and present the resulting performance. YieldStar-200 is a new, high throughput metrology tool based on a high numerical aperture scatterometer concept. The tool can be used stand-alone as well as integrated in a processing track. It is suitable for determining process offsets in X,Y and Z directions through Overlay and Focus measurements respectively. In addition CD profile information can be measured enabling proximity matching applications. By using a technique [2][3][4] to de-convolve dose and focus based on the profile measurement of a well-characterized process monitor target, we show that the dose and focus signature of a high NA 193nm immersion scanner can be effectively measured and corrected. A similar approach was also taken to address overlay errors using the diffraction based overlay capability [5] of the same metrology tool. We demonstrate the advantage of having a single metrology tool solution, which enables us to reduce dose, focus and overlay variability to their minimum non-correctable signatures. This technique makes use of the high accuracy and repeatability of the YieldStar tool and provides a common reference of scanner setup and user process. Using ASML's YieldStar in combination with ASML scanners, and control solutions allows for a direct link from the metrology tool to the system settings, ensuring that the appropriate system settings can be easily and directly updated.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Martin Ebert, Hugo Cramer, Wim Tel, Michael Kubis, and Henry Megens "Combined overlay, focus and CD metrology for leading edge lithography", Proc. SPIE 7973, Optical Microlithography XXIV, 797311 (22 March 2011); https://doi.org/10.1117/12.881428
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications and 6 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Scanners

Metrology

Semiconducting wafers

Critical dimension metrology

Lithography

Control systems

Back to Top