Paper
1 April 2011 Mask tuning for process window improvement
Ute Buttgereit, Robert Birkner, Erez Graitzer, Avi Cohen, Benedetta Triulzi, Carmelo Romeo
Author Affiliations +
Proceedings Volume 7985, 27th European Mask and Lithography Conference; 79850K (2011) https://doi.org/10.1117/12.896910
Event: 27th European Mask and Lithography Conference, 2011, Dresden, Germany
Abstract
For the next years optical lithography stays at 193nm with a numerical aperture of 1.35. Mask design becomes more complex, mask and lithography specifications tighten. The k1 factor comes close to 0.25 which leads to a tremendously increased Mask Error Enhancement Factor (MEEF). This means that CD errors on mask are getting highly amplified on wafer. Process control becomes more important than ever. Accurate process control is a key factor to success to maintain a high yield in chip production. One key parameter to ensure a high and reliable functionality for any integrated circuit is the critical dimension uniformity (CDU). There are different contributors which impact the intra-field CD performance at wafer such as mask CD uniformity, scanner fingerprint, resist process etc. In the present work we focus on improvement of mask CD signature which is one of the main contributors to intra-field CD uniformity. The mask CD uniformity has been measured by WLCD32 which measures the CD based on proven aerial image technology. Based on this CD input the CD uniformity was corrected by CDC200TM and afterwards verified by WLCD32 measurement. The CDC200TM tool utilizes an ultrafast femto-second laser to write intra-volume shading elements (Shade-In ElementsTM) inside the bulk material of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. Additionally, the impact of the improved CD uniformity on the lithography process window was investigated. Goal of the work is to establish a process flow for mask CD uniformity improvement based on mask CD metrology by WLCD32 and mask CD uniformity control by CDC200TM and to verify its impact on the lithography process window. The proposed process flow will be validated by wafer prints. It was shown that the WLCD32 has an excellent correlation to wafer data and an outstanding CD repeatability. It provides a reliable input for CD uniformity correction and is the tool of choice to verify the CD uniformity improvement after CDC200TM treatment.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ute Buttgereit, Robert Birkner, Erez Graitzer, Avi Cohen, Benedetta Triulzi, and Carmelo Romeo "Mask tuning for process window improvement", Proc. SPIE 7985, 27th European Mask and Lithography Conference, 79850K (1 April 2011); https://doi.org/10.1117/12.896910
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Photomasks

Semiconducting wafers

Lithography

Signal attenuation

Scanners

Calibration

Back to Top