Paper
13 March 2012 Application of illumination pupilgram control method with freeform illumination
Tomoyuki Matsuyama, Naonori Kita, Ryota Matsui, Junji Ikeda
Author Affiliations +
Abstract
Source Mask Optimization1 (SMO) is one of the most important techniques available for extending ArF immersion lithography. The combination of freeform source shape and complex mask pattern, determined by SMO, can extend the practical resolution of a lithography system. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive to many imaging parameters, such as illumination source shape error, lens aberration, process property, etc. As a result, the real source shape must be re-adjusted to realize the expected imaging performance as may be seen, for example, in an Optical Proximity Effect (OPE) curve. In this paper we present an illumination pupilgram re-adjustment method that can effectively control the various illumination parameters to get optimum imaging performance, which is required for the lithography process design. The modulation functions are called Zernike intensity/distortion modulations2. Since the pupilgram modulation is expressed by Zernike polynomials3, a high degree of pupilgram adjustment freedom is provided to the intelligent illuminator4 (freeform illumination) which can be effectively modeled in the optimization. Furthermore, the magnitude of each adjusting Zernike component can be restricted to prevent over modulation, which may affect imaging performance for various patterns on a mask. Furthermore, the linear impact of each term of Zernike modulation can allow us to use Zernike linear combination analysis to calculate imaging performance. Therefore, optimization using a large variety of illumination modulation terms may be possible with reasonable computation loads. The method is combined with an imaging simulator that includes resist models and optimization algorithms in pupilgram refinement software called "OPE Master". The software can take into account the scanner signature and various constraints so that the result of the optimization can be accurately realized on the scanner. The optimization can be performed based on rigorous imaging simulation and Zernike linear combination analysis, which is based on a precalculated Zernike linear sensitivity table.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Tomoyuki Matsuyama, Naonori Kita, Ryota Matsui, and Junji Ikeda "Application of illumination pupilgram control method with freeform illumination", Proc. SPIE 8326, Optical Microlithography XXV, 83260K (13 March 2012); https://doi.org/10.1117/12.916594
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Modulation

Fiber optic illuminators

Point spread functions

Optimization (mathematics)

Distortion

Lithographic illumination

Scanners

Back to Top