Paper
1 April 2013 Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyond
Yasin Ekinci, Michaela Vockenhuber, Mohamad Hojeij, Li Wang, Nassir Mojarad
Author Affiliations +
Abstract
The performance of EUV resists is one of the main challenges for the cost-effectiveness and the introduction of EUV lithography into high-volume manufacturing. The EUV interference lithography (EUV-IL) is a simple and powerful technique to print periodic nanostructures with a resolution beyond the capabilities of other tools. In addition, the well-defined and pitch-independent aerial image of the EUV-IL provides further advantages for the analysis of resist performance. In this paper, we present evaluation of chemically-amplified resists (CAR) and inorganic resists using EUV-IL. We illustrate the performance of the tool through a reproducibility study of a baseline resist over the course of 16 months. A comparative study of the performance of different resists is presented with the aim of resolving patterns with CARs for 16 nm half-pitch (HP) and 11 nm HP. Critical dimension (CD) and line-edge roughness (LER) are evaluated as functions of dose for different process conditions. With a CAR with about 10 mJ/cm2 sensitivity, 18 nm L/S patterns are obtained with low LER and well-resolved patterns are achieved down to 16 nm HP. With another CAR of about 35 mJ/cm2 sensitivity, L/S patterns with low LER are demonstrated down to 14 nm HP. Resolved patterns are achieved down to 12 HP, demonstrating the capability of its potential towards 11 nm HP if pattern collapse mitigation can be successfully applied. With EUV-sensitive inorganic resists, patterning down to 8 nm has been realized. In summary, we show that resist platforms with reasonable sensitivities are already available for patterning at 16 nm HP, 11 nm HP, and beyond, although there is still significant progress is needed. We also show that with decreasing HP, pattern collapse becomes a crucial issue limiting the resolution and LER. Therefore resist stability, collapse mitigation, and etch resistance are some of the significant problems to be addressed in the development of resist platforms for future technology nodes.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yasin Ekinci, Michaela Vockenhuber, Mohamad Hojeij, Li Wang, and Nassir Mojarad "Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyond", Proc. SPIE 8679, Extreme Ultraviolet (EUV) Lithography IV, 867910 (1 April 2013); https://doi.org/10.1117/12.2011533
Lens.org Logo
CITATIONS
Cited by 60 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Extreme ultraviolet

Extreme ultraviolet lithography

Scanning electron microscopy

Lithography

Optical lithography

Critical dimension metrology

Back to Top