Paper
29 March 2013 Process variability of self-aligned multiple patterning
Author Affiliations +
Abstract
EUV lithography is one of the most promising techniques for sub 20nm half pitch HVM devices, however it is well known that EUV lithography solutions still face significant challenges. Therefore we have focused on 193 based self-aligned multiple patterning, because SAMP(SADP to SAQP) easily enables fine periodical patterning. As you know, these spacer based techniques have already been applied to NAND,DRAM,Logic mass productions. We have already introduced innovative resist core based SADP/SAQP techniques and have demonstrated results in past SPIE sessions.[1][2][3][4] Although SAMP technique can be easily extend to the gridded pattern for 1D layout, the resolution limit of gridded design rule will strongly depend on hole pitch shrink technique for the cut-pattern. In this paper, we will introduce GDR demonstration result of the 10nm logic node, and discuss about the process variability relevant to them.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kenichi Oyama, Shohei Yamauchi, Arisa Hara, Sakurako Natori, and Hidetami Yaegashi "Process variability of self-aligned multiple patterning", Proc. SPIE 8682, Advances in Resist Materials and Processing Technology XXX, 86820C (29 March 2013); https://doi.org/10.1117/12.2011385
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical lithography

Extreme ultraviolet lithography

Photomasks

Double patterning technology

Lithography

Logic

Metals

Back to Top