Paper
18 March 2014 Imaging performance of attenuated phase-shift mask using coherent scattering microscope
Author Affiliations +
Abstract
The half-tone phase shift mask (PSM) has been suggested for better imaging performances like image contrast, NILS and H-V bias compared to the binary mask (BIM) in EUV lithography. In this paper, we measured imaging performance of a fabricated half-tone attenuated PSM with Coherent Scattering Microscopy (CSM) and the results were compared with simulation data obtained by EM-suite tool. We prepared a half-tone attenuated PSM which has 12.7% reflectivity and 180° phase shift with absorber stack of 16.5mn-thick TaN absorber and 24nm-thick Mo phase shifter. With CSM, an actinic inspection tool, we measured the imaging properties of PSM. The diffraction efficiencies of BIM were measured as 31%, 36%, and 44% for 88 nm, 100 nm, and 128 nm mask CD, respectively, while those of PSM were measured as 45%, 62%, and 81%. Also the aerial image at wafer level obtained by CSM with high volume manufacturing tool’s (HVM) illumination condition (NA=0.33, σ=0.9) showed higher image contrast and NILS with phase shift effect. And the measured data were consistent with the simulation data.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jae Uk Lee, SeeJun Jeong, Seong Chul Hong, Seung Min Lee, and Jinho Ahn "Imaging performance of attenuated phase-shift mask using coherent scattering microscope", Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90481X (18 March 2014); https://doi.org/10.1117/12.2045877
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Phase shifts

Extreme ultraviolet lithography

Nanoimprint lithography

Inspection

Microscopes

Back to Top