Open Access Paper
24 April 2015 Front Matter: Volume 9424
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9424 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

23–26 February 2015

San Jose, California, United States

Sponsored by SPIE

Cosponsored by

NOVA Ltd. (United States)

Published by

SPIE

Volume 9424

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Metrology, Inspection, and Process Control for Microlithography XXIX, edited by Jason P. Cain, Martha I. Sanchez, Proceedings of SPIE Vol. 9424 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628415261

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9424_942401_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abhari, Reza, 18

Abreau, F., 18

Adam, Omer, 1E

Adan, Ofer, 07, 0G

Adel, Michael E., 0D

Ahmad, Ahmad, 0P

Amir, Nuriel, 09, 0E, 2B

Amit, Eran, 25

Anderson, Erik, 17

Ang, Jesline, 25

Angelov, Tihomir, 0P

Applegarth, Chuck, 2N, 2O

Atanasov, Ivaylo, 0P

Awasthi, Amartya, 0M, 28

Babin, S., 0I, 27

Bailey, Todd C., 0H, 19, 1I

Banerjee, Sarbajit, 1S

Bangsaruntip, Sarunya, 07

Bar Or, Ron, 0Q

Baral, Sudhir, 1G

Barnes, Bryan M., 1J

Bar-Zvi, Maayan, 0G

Baudemprez, Bart, 0E

Bayana, Hareen, 2F

Beique, Genevieve, 04

Bekaert, Joost, 2A

Bell, Alan P., 1R

Bellmann, Enrico, 20

Beltman, Jan, 12, 1L

Besacier, M., 10

Bhattacharyya, Kaustuve, 1E

Biafore, John J., 2A

Biswas, Mahua, 0U

Blancquaert, Yoann, 12

Borisov, S. S., 0I

Bos, Sandra, 0S

Bouckou, Loemba, 2F, 2H

Boudreau, A. J., 0R

Bouyssou, Régis, 0A, 10

Bozdog, Cornel, 0X, 19, 1H

Bringoltz, Barak, 0B

Brown, Jim, 2F

Browning, Matt, 2N

Brueck, S. R. J., 1W

Bryniarski, Casey A., 16

Buhl, Stefan, 20

Bunday, Benjamin D., 0F, 0J, 2R

Burger, Sven, 0Z

Byeon, Jungho, 0M, 28

Byun, Jin-Moo, 14

Cangiano, Agostino, 0B

Carau, Damien, 0A, 10

Cekli, Hakki Ergun, 1K

Cepler, Aron, 19

Chang, Jinman, 1D

Chao, Robin, 19, 1M

Chao, Weilun, 17

Chaudhari, Atul, 1R

Chen, Charlie, 09

Chen, Chien-Hao, 2C

Chen, Frank, 1B

Chen, Garry, 2G

Chen, K. C., 2J

Chen, Ming, 13

Chen, Wei, 1N

Chen, Xiuguo, 0Y

Chen, Xuanxuan, 1N

Cheng, Chao-Yu, 2C

Chirko, Konstantin, 07

Cho, Chanseob, 24

Cho, Sang-Joon, 1X

Choi, Bumjin, 1D

Choi, Byoung-il, 2E

Choi, DongSub, 0C, 0M, 1Y, 25, 2B, 2E

Chou, Chih-Shiang, 1A

Christophersen, M., 0R

Chu, Fu-Sheng, 1A

Chu, Wei-Yuan, 2C

Chu, Yuan-Chih, 1A

Chuen, Lieu Chia, 20, 25

Chun, Jun Sung, 0T

Chung, Woong Jae, 1V

Cohen, Guy M., 07

Cohen, Oded, 19

Cooper, Moshe, 2E

Cordes, Aaron, 2R

Cotte, Eric, 0B

Couraudon, Vincent, 1E

Cramer, Hugo, 1F

Dasari, Prasad, 1G

David, Tien, 2E

Dechter, Rimma, 1S

Deckers, David, 1L

Dekker, Bert, 0O

Dellemann, Gregor, 1U

Demirer, Onur, 1V

den Boef, Arie, 1E, 1F

Deng, Guogui, 2I

Denk, Winfried, 1U

de Pablo, Juan J., 1N

Dépré, Jérôme, 12

Deprospo, Bartlet, 1M

Dervillé, Alexandre, 0S

Dettoni, Florent, 0A, 10, 12

Dey, Soham, 0M

Dezauzier, Christophe, 0A, 10, 12

Diebold, Alain C., 0T, 11, 2P

Dighe, Prasanna, 0M

Dirahoui, Bachir, 1I

Divan, Ralu N. S., 0U

Dixit, Dhairya, 0T, 2P

Dmitriev, Vladimir, 1K

Doxastakis, Manolis, 1N

Driessen, Lars H. D., 12

Dror, Chen, 0D

Ducoté, Julien, 0A, 10, 12

Durran, Sean, 0B

Economikos, Laertis, 1G

Edwards, Chris, 16

Efraty, Boris, 0B

Eigenraam, Alexander, 0O

ElKodadi, Mohamed, 0C, 2B

Elam, Jeffery W., 0U

Elia, Alex, 1H

Engelmann, J., 29

Erley, Georg, 20

Escalante Marun, Maryana, 1F

Fagginger Auer, Bastiaan Onne, 1F

Fang, Chao, 2A

Fang, Fang, 1P

Feler, Yoel, 2E

Felix, Nelson, 19

Ferrier, Nicola, 1N

Fischer, Daniel, 0B

Foucher, Johann, 0S

Fouquet, Christophe, 1E

Frazer, Travis, 17

Fuchs, Andreas, 1E

Gambino, Nadia, 18

Garcia-Medina, Miguel, 1V

Gatefait, Maxime, 1L

Gau, Tsai-Sheng, 1A

Gaudiello, John, 1M

Ge, Zhenhua, 0G

Ghinovker, Mark, 0D

Ghoshal, Tandra, 1R

Gilgenkrantz, P., 1C

Goddard, Lynford L., 16

Godny, Stephane, 0D

Goldberg, Cindy, 1M

Gomis Bresco, Jordi, 26

Goosen, Hans, 2Q

Gorhad, Kujan, 1K

Gosain, Rohan, 2F

Gourgon, C., 10

Graitzer, Erez, 1K

Grasshof, G., 29

Gready, David, 0D

Grill, Alfred, 07

Gronheid, Roel, 1N

Grubner, Eyal, 1H

Gu, Xiaokun, 17

Guliyev, Elshad, 0P

Guo, Eric, 13

Guo, Wei, 1F

Gutjhar, Karsten, 1V, 24

Gutman, Nadav, 2E

Habets, Boris, 20

Hagen, C. W., 05

Hajaj, Eitan, 0B

Halder, Sandip, 1B

Halle, Scott, 0H

Han, Daehan, 1D

Han, Sang-Jun, 0C, 0M, 1T, 28, 2B

Hand, Sean, 2R

Hao, Jingan, 2I

Hartig, Carsten, 0B, 29

Hasan, T., 1C

Haupt, Ronny, 0B, 1P

He, Weiming, 2I, 2M

Henderson, Clifford L., 0K

Henn, Mark-Alexander, 1J

Herfst, Rodolf, 0O

Hernandez-Charpak, Jorge, 17

Herrmann, Sven, 0Z

Hertzsch, Tino, 0B

Herzel, Eitan, 0C, 2B, 2E

Hiem, Biow, 25

Hight Walker, Angela R., 1S

Hinnen, Paul, 1F

Hinschberger, Benôit, 2H

Hitomi, Keiichiro, 0H

Hofer, Manuel, 0P

Holz, Mathias, 0P

Hong, Aeran, 1D

Hong, Hyeongsun, 1D

Hoo, George, 1Y

Hoogeboom-Pot, Kathleen, 17

Horiguchi, Naoto, 06

Hosler, Erik R., 0T, 2P

Hotta, Shoji, 0H

Hsing, Henry, 09

Hsu, Simon C. C., 09

Hu, Huayong, 2I, 2M

Huang, Chien-Jen, 2C

Huang, Hsu-Ting, 1A

Huang, Jacky, 1E

Huang, Jaffee, 27

Huang, Kevin, 0M, 0N

Huang, Wen-Chun, 1A

Hudgins, Duane, 18

Hung, P. Y., 1S

Hunsche, Stefan, 1B

Hwang, Young-Sun, 14

Iddawela, Givantha, 0X

Ikegami, Toru, 1H

Ikeno, Masahiko, 1H

Ikota, Masami, 06

Inoue, Osamu, 08

Irmer, Bernd, 0S

Isbester, Paul, 0X, 1G

Island-Ashwal, Eltsafon, 2E

Itzkovich, Tal, 0B, 0C, 24, 2B

Ivanov, Tzvetan, 0P

Iwaki, Yuuki, 06

Jain, Vivek, 1B

Jak, Martin, 1E

Javvaji, Rajanish, 20

Jazim, Mohamed Fazly Mohamed, 25

Jehoul, Christiane, 08

Jeon, Sanghuck, 0C, 1Y, 2B

Jeong, Taehwa, 2E

Ji, Sunkeun, 15

Jiang, Hao, 0Y

Jiang, Yuntao, 2I

Jiang, Zhang, 1N

Jin, Gyoyoung, 1D

Jo, Ahjin, 1X

Jo, Gyoyeon, 15

Jochemsen, Marinus, 1B

Ju, JawWuk, 1Y

Jung, Mi-Rim, 14

Kaestner, Marcus, 0P

Kamineni, Vimal, 2P

Kandel, Daniel, 2E

Kang, Byung Cheol Charles, 0X, 1G, 1H

Kang, Hyunwoo, 15

Kang, Jinyoung, 1D

Kapteyn, Henry, 17

Karur-Shanmugam, Ramkumar, 1V

Katz, Yinon, 0X

Kavanagh, Jim, 1E

Kawada, Hiroki, 06

Keller, Nick, 0T, 11, 2P

Kemen, Thomas, 1U

Keyvani, Aliasghar, 2Q

Kim, Byong, 1X

Kim, J. H., 0M, 28

Kim, Jimyung, 2E

Kim, Jungchan, 15

Kim, JungWook, 2E

Kim, Kwanghoon, 1M

Kim, MinGyu, 1Y

Kim, Min-Suk, 1T

Kim, Myoung-Soo, 0C, 0M, 1T, 28, 2B

Kim, Ryoung-han, 04

Kim, Sang Min, 0M, 28

Kim, Suhyun, 2E

Kim, Taeheon, 1D

Kim, Yonghyeon, 1D

Kim, Young Ki, 1P

Kim, Young-Sik, 14

Kimani, Peter, 0N

Klein, Dana, 25

Klots, Michael, 0X

Koch, F., 29

Koffas, Telly, 0N

Koopman, Arne, 1L

Kort, Roy, 1G

Koshihara, Shunsuke, 0H

Kreuzer, Martin, 26

Kruijswijk, Stefan, 1F

Kruit, P., 05

Kubis, Michael, 1T

Kuo, Kelly T. L., 09

Kwon, Won-Taik, 0C, 0M, 14, 1T, 28, 2B

Labrosse, Aurelien, 0S

Lam, Auguste, 1L

Landoni, Cristian, 2N, 2O

Lavigne, Erin, 0H

Lawson, Richard A., 0K

Lee, Byongseog, 0C, 2B

Lee, Changhwan, 0M, 28

Lee, Cheouljung, 0M

Lee, Dohwa, 0C, 1Y, 2B

Lee, Hong-Goo, 0C, 0M, 1T, 28, 2B

Lee, Jongsu, 0M, 28

Lee, Joosung, 1D

Lee, Jooyoung, 1D

Lee, Ju Suk, 1X

Lee, JuHan, 1Y

Lee, Kangsan, 0C, 1Y, 2B

Lee, Keibock, 1X

Lee, Kweonjae, 1D

Lee, Kyupil, 1D

Lee, Kyusun, 1D

Lee, Wei Ti, 1M

Leeson, Michael, 1R

Leewis, Christian, 1F

Le-Gratiet, Bertrand, 0A, 10, 1C

Leray, Philippe, 08, 0E

Levi, Shimon, 07

Levinski, Vladimir, 2E

Levy, Ady, 1Y

Lewis, Aaron, 1S

L’Herron, Benoit, 1M

Li, Gaorong, 2I

Li, Gordon, 13

Li, Rivan, 13

Liddle, J. Alexander, 0U

Lim, Alan, 20, 25

Lim, Ek Chow, 25

Lim, Young-Wan, 1T

Lin, Yi-Shih, 2I

Liu, Chang, 2I

Liu, Chi-Chun, 19

Liu, Haibo, 1G

Liu, Ru-Gun, 1A

Liu, Shiyuan, 0Y

Lokhorst, S. R., 05

Lorusso, Gian F., 06

Lu, Max, 13

Maassen, Martijn, 1E

Macchi, Giorgio, 2N

Mack, Chris A., 03, 0F

Malloy, Matt, 0J, 1U

Mao, Ming, 0E

Martinick, Brian, 11

Maruyama, Kotaro, 15

Melzer, R., 29

Mikula, Shawn, 1U

Ming, Bin, 1J

Montgomery, Cecilia, 2R

Montgomery, Warren, 0T

Moreau, Olivier, 2H

Morris, Mick A., 1R

Motoyama, Koichi, 1M

Mueller, Dieter, 28

Mukhtar, Maseeh, 0J

Mulkens, Jan, 1K

Munoz, Alexander, 1W

Murnane, Margaret, 17

Muthinti, Gangadhara Raja, 2P

Nardi, Damiano, 17

Nealey, Paul F., 0U, 1N

Neumayer, Deborah, 07

Nulkes, Nicole, 0O

Ocola, Leonidas E., 0U

Oh, Nang-Lyeom, 14

Okagawa, Yutaka, 08

Okai, Nobuhiro, 0H

O’Loughlin, Thomas E., 1S

O’Mullane, Samuel, 11, 2P

Osborne, Jason, 2R

Osorio, Carmen, 1H

Ostrovsky, A., 10

O’Sullivan, Michael, 0T

Pai, White, 2G

Pai, Yuan Chi, 09

Pandev, Stilian, 1P

Parisi, Paolo, 2F, 2H

Park, Byungjun, 15

Park, Chanha, 15

Park, Chris, 14

Park, Minwoo, 15

Park, Sang-il, 1X

Park, Sean, 14

Park, Sung-Ki, 0C, 0M, 1T, 28, 2B

Park, Youngsik, 2E

Pasqualini, F., 1C

Pathangi, Hari, 2F

Perets, Yuval, 1K

Peterson, Joel, 0N

Petra, Stefan, 1F

Phlips, B. F., 0R

Pierson, Bill, 1V, 1Y

Pirola, Simona, 2N

Pomplun, Jan, 0Z

Popescu, Gabriel, 16

Preil, Moshe, 0T, 2P

Prentice, C., 1C

Quoi, Kathy, 0J

Race, Joseph, 0T, 11, 2P

Ramanathan, Vidya, 1P, 1V, 24

Ramirez-Hernandez, Abelardo, 1N

Rana, Narender, 1I

Rangelow, Ivo W., 0P

Ren, Jiaxing, 0U

Reum, Alexander, 0P

Riddle Vogt, Sarah, 2N, 2O

Riggs, Brent, 1V

Rijnbeek, Ramon, 0O

Rincon Delgadillo, Paulina A., 1N

xii

Robertson, Stewart A., 2A

Robinson, John C., 1V, 1Y

Rollinger, Bob, 18

Ruhm, Matthias, 0B

Rusk, Gary, 0N

Ryan, Kevin, 14, 1T

Sadeghian, Hamed, 0O, 0Q, 2Q

Samayoa, Martin, 1S

Sanchez, Dominique, 2H

Sanko, Dimitry, 1P

Sass, B., 29

Schade, Sebastian, 0S

Schmidt, Frank, 0Z

Schmidt, Sebastian W., 0S

Schmitt-Weaver, Emil, 1T

Schuh, Andreas, 0P

Schulz, Bernd, 0B

Schwarzband, Ishai, 0G

Segal-Peretz, Tamar, 0U

Segers, Bart, 1F

Seltmann, Rolf, 0B

Sendelbach, Matthew, 0X, 19

Senthamaraikannan, Ramsankar, 1R

Shahrjerdy, Mir, 14

Shapoval, Tetyana, 0B

Sharoni, Ofir, 1K

Shaw, Justin, 17

Shaw, Matt T., 1R

Shen, Manhua, 2I

Shen, Z., 29

Sherwin, Stuart, 1Y

Shi, Irene, 13

Shi, Leathen, 07

Shi, Xuelong, 2I

Shirasaki, Hirokimi, 21

Shoval, Ori, 0G

Silver, Richard M., 1J

Sim, Stella, 25

Simiz, J.-G., 1C

Singhanl, Shrawan, 1W

Sinha, Harsh, 2G

Sinha, Jaydeep K., 0L, 0M, 0N, 28

Sledzinska, Marianna, 26

Slotboom, Daan, 1T

Smilde, Henk-Jan H., 12, 1F

Smith, Mark D., 1Y, 2A

Snow, Patrick W., 0G, 24

Solecky, Eric, 0G

Sotomayor Torres, Clivia M., 26

Sparka, Christian, 1V

Spence, Chris, 1B

Sreenivasan, S. V., 1W

Staals, Frank, 1C, 1F

Staecker, Jens, 1T

Standaert, Theodorus, 1M

Strzalka, Joseph, 1N

Su, Eason, 1E

Subramany, Lokesh, 1P, 1V, 24

Succi, Marco, 2N, 2O

Suh, Hyo Seon, 1N

Sun, Kyu-Tae, 14, 1T

Sun, Lei, 04

Sunkoju, Sravan, 2P

Takahashi, Satoru, 06

Takamasu, Kiyoshi, 06

Tanaka, Junichi, 0H

Tarshish-Shapir, Inna, 0D

Tel, W. T., 1C

Theeuwes, Thomas, 1T

Thiel, Brad, 0J, 1U

Tian, Eric, 13

Tien, David, 1Y, 25

Tijssen, Robin, 1E

Timoney, Padraig, 1G, 1H

Tishchenko, A., 1C

Tottewitz, Steven, 20

Trifonenkov, V. P., 0I

Truffert, Vincent, 1B

Tsai, Charles, 2G

Tsai, Fengnien, 2J

Tsai, Jamie, 0X, 1G, 1P

Tuffy, Paul, 14

Turgut, Emrah, 17

Turner, Kevin T., 0L

Tzai, Wei-Jhe, 2C

Tzeng, Wilson, 1E

Ullah, Md Zakir, 20, 25

Uziel, Yoram, 0Q

Vaglio Pret, Alessandro, 2A

Vaid, Alok, 0G, 0X, 1G, 1H, 1P

van Buël, Willy, 12

van den Dool, Teun C., 0Q

van den Heuvel, Dieter, 1B, 2F

van Haren, Richard J. F., 12, 1K, 1L

van Keulen, Fred, 2Q

Veeraraghavan, Sathish, 0M, 0N, 28

Vellanki, Venu, 1B

Venkataraman, Kartik, 1P

Verduin, T., 05

Villaret, A., 1C

Villarrubia, John S., 1J

Vladár, András E., 1J

Volkovitch, Roie, 0C, 25, 2B

Vukkadala, Pradeep, 0M

Wagner, Mark, 0C, 2B

Wainreb, Gilad, 0X

Wall, Donald, 1I

Wan, Lingshu, 1N

Wang, Cathy, 1E

Wang, Jin, 1N

Wang, Wenhui, 04

Welch, Steven, 1F

Weng, Tang Chun, 2C

Werkman, Roy, 14

Williamson, Lance, 1N

Winters, Jasper, 0O

Winterstein, Jonathan, 0U

Wisse, Baukje, 1F

Wolfling, Shay, 19

Wood, Erin L., 1S

Wood, Obert, II, 04

Wu, Qiang, 2I, 2M

Wu, Robert Hsing-Chien, 09

Xing, Bin, 2I

Xu, Huina, 2G

Xu, Z. -Q., 29

Yamaguchi, Atsuko, 06

Yamamoto, Masahiro, 15

Yang, C. C., 2J

Yang, Elvis, 2J

Yang, He Rong, 2C

Yang, Hyunjo, 15

Yang, Jung Yan, 2G

Yang, Ronggui, 17

Yang, T. H., 2J

Yang, Xiaosong, 2D

Yap, Lipkong, 1V, 24

Yasharzade, Shay, 0G

Ye, Yi Zhou, 2D

Yeh, Teng-hao, 2J

Yellai, Naren, 1G, 1H

Yetzbacher, M. K., 0R

Yim, Donggyu, 15

Yoo, Gyun, 15

Yoo, Ji-Hwan, 14

Yoo, Sungchul, 2C

Yoo, Young-kook, 1X

Yoon, Kwang-Sub, 2E

Young, Stuart, 1F

Ypma, Alexander, 1L

Yu, Chun-Chi, 09, 2C

Yue, Liwan, 2I

Yushmanov, P., 27

Zaluzec, Nestor, 0U

Zandiatashbar, Ardavan, 1X

Zeidler, Dirk, 1U

Zhang, Chuanwei, 0Y

Zhang, Gary, 1E

Zhang, Nannan, 2I

Zhang, Nien Fan, 1J

Zhang, Qiang, 2I

Zhang, Shijian, 2I

Zhang, Xiaoxiao, 0G

Zhang, Youping, 14, 1E

Zhang, Yunlin, 1I

Zhou, Hua, 0G

Zhou, Hui, 1J

Zhou, Ren, 1P

Zhou, Renjie, 16

Zhou, Xinjian, 1B

Zhu, Jinlong, 0Y

Zhu, Ruichao, 1W

Zhu, XiaoZheng, 2D

Zou, Yongxiang, 2D

Zschiedrich, Lin, 0Z

Zu, Yanlei, 2I

Conference Committee

Symposium Chair

Mircea V. Dusa, ASML US, Inc. (United States)

Symposium Co-chair

Bruce W. Smith, Rochester Institute of Technology (United States)

Conference Chair

Jason P. Cain, Advanced Micro Devices, Inc. (United States)

Conference Co-chair

Martha I. Sanchez, IBM Research - Almaden (United States)

Conference Program Committee

Ofer Adan, Applied Materials Ltd. (Israel)

John A. Allgair, Nanometrics Inc. (United States)

Masafumi Asano, Toshiba Corporation (Japan)

Benjamin D. Bunday, SEMATECH Inc. (United States)

Alek C. Chen, ASML Taiwan Ltd. (Taiwan)

Timothy F. Crimmins, Intel Corporation (United States)

Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

Chih-Ming Ke, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

Yi-Sha Ku, Industrial Technology Research Institute (Taiwan)

Byoung-Ho Lee, Ultratech (United States)

Christopher J. Raymond, Nanometrics Inc. (United States)

John C. Robinson, KLA-Tencor Corporation (United States)

Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

Richard Silver, National Institute of Standards and Technology (United States)

Eric Solecky, GLOBALFOUNDRIES Inc. (United States)

Costas J. Spanos, University of California, Berkeley (United States)

Alexander Starikov, I&I Consulting (United States)

Vladimir A. Ukraintsev, Nanometrology International, Inc. (United States)

Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • 1 Keynote Session

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

    Martha I. Sanchez, IBM Research - Almaden (United States)

  • 2 Characterization of Feature Profile and LER

    Benjamin D. Bunday, SEMATECH Inc. (United States)

    Ofer Adan, Applied Materials Ltd. (Israel)

  • 3 Overlay Metrology

    Alexander Starikov, I&I Consulting (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 4 SEM Metrology and Modeling

    Eric Solecky, IBM Corporation (United States)

    Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

  • 5 Wafer Geometry and Topography Effects on Process Control

    Timothy F. Crimmins, Intel Corporation (United States)

    John A. Allgair, Nanometrics Inc. (United States)

  • 6 AFM

    Benjamin D. Bunday, SEMATECH Inc. (United States)

    Christopher J. Raymond, Nanometrics Inc. (United States)

  • 7 Metrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9423 and 9424

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Alexander Liddle, National Institute of Standards and Technology (United States)

  • 8 Scatterometry

    Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 9 Device Overlay

    Richard M. Silver, National Institute of Standards and Technology (United States)

    Masafumi Asano, Toshiba Corporation (Japan)

  • 10 Inspection

    Timothy F. Crimmins, Intel Corporation (United States)

    Byoung-Ho Lee, Ultratech (United States)

  • 11 Design Interaction with Metrology: Joint Session with Conferences 9424 and 9427

    Alexander Starikov, I&I Consulting (United States)

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

  • 12 Hybrid Metrology and Process Control

    Masafumi Asano, Toshiba Corporation (Japan)

    Eric Solecky, IBM Corporation (United States)

  • 13 Overlay Optimization: Joint Session with Conferences 9424 and 9426

    John C. Robinson, KLA-Tencor Corporation (United States)

    Mark Phillips, Intel Corporation (United States)

  • 14 X-ray and Novel Optical Methods

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Christopher J. Raymond, Nanometrics Inc. (United States)

  • 15 Late Breaking News

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

    Vladimir A. Ukraintsev, Nanometrology International, Inc. (United States)

Introduction

The 29th conference on Metrology, Inspection, and Process Control for Microlithography was a successful forum for discussion of challenges, opportunities, and new ideas with many of the top professionals in the field in attendance. This exchange reached across other conferences at the Advanced Lithography Symposium as well, as evidenced by the success of three joint sessions.

The first joint session, with the conference on Alternative Lithographic Technologies, continued a popular series of similar joint sessions in the past few years at the symposium related to metrology challenges in directed self-assembly:

SESSION 7: Metrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9423 and 9424, chaired by Martha Sanchez and J. Alexander Liddle.

  • “Defect mitigation and root cause studies in IMEC’s 14nm half-pitch chemo-epitaxy DSA flow,” Hari Pathangi, Boon Teik Chan, Hareen Bayana, Dieter Van Den Heuvel, Lieve Van Look, Paulina A. Rincon-Delgadillo, Guanyang Lin, Yi Cao, JiHoon Kim, Doni Parnell, Kathleen Nafus, Ito Chikashi, Venkat R. Nagaswami, Lucia D’Urzo, Roel Gronheid, Paul F. Nealey [9423-21]

  • “Optical CD metrology for directed self-assembly assisted contact hole shrink process,” Dhairya J. Dixit, Erik R. Hosler, Moshe E. Preil, Nick Keller, Joseph Race, Jun Sung Chun, Michael O’Sullivan, M. Warren Montgomery, Alain C. Diebold [9424-29]

  • “Metrology of DSA process using TEM tomography,” Tamar Segal-Peretz, Jonathan Winterstein, Jiaxing Ren, Mahua Biswas, Alexander J. Liddle, Nestor J. Zaluzec, Jeffery W. Elam, Paul F. Nealey [9424-30]

  • “Line-edge roughness on directed self-assembly: impact of process conditions,” Vijaya-Kumar Murugesan Kuppuswamy, Lance D. Williamson, Hari Pathangi, Paul F. Nealey, Roel Gronheid, Guanyang Lin, Yi Cao [9424-31

The second joint session was with the conference on Design-Process-Technology Co-optimization for Manufacturability. This was the first time such a joint session has appeared at the symposium:

SESSION 11: Design Interaction with Metrology: Joint Session with Conferences 9424 and 9427, chaired by Jason Cain and Alexander Starikov.

  • “Full chip two-layer CD and overlay process window analysis,” Rachit Gupta, Shumay D. Shang, John L. Sturtevant [9427-16]

  • “A new paradigm for in-line detection and control of patterning defects,” Stefan Hunsche, Marinus Jochemsen, Vivek Jain, Xinjian Zhou, Chris Spence, Dieter van den Heuvel, Vincent Truffert [9424-47]

  • “Predictability and impact of product layout induced topology on across-field focus control,” Jean-Gabriel Simiz, Tanbir Hasan, Frank Staals, Bertrand Le-Gratiet, Pascal Gilgenkrantz, Alexandre Villaret, François Pasqualini, Wim Tel, Christopher Hugh Angus Prentice, Alexandre Tishchenko [9424-48]

  • “The analysis method of the DRAM cell pattern hotspot,” Kyusun Lee, KweonJae Lee, Jinman Chang, Tae Heon Kim, DaeHan Han, Ae-Ran Hong, Yonghyeon Kim, Jinyoung Kang, Bumjin Choi, Joo-Sung Lee, Jooyoung Lee, Hyeongsun Hong, Kyupil Lee, Gyoyoung Jin [9424-49]

The third joint session was held with the conference on Optical Microlithography. It covered issues related to overlay metrology, a very popular topic at this year’s conference:

SESSION 13: Overlay Optimization: Joint Session with Conferences 9424 and 9426, chaired by John Robinson and Mark Phillip.

  • “Overlay improvement methods with diffraction based overlay and integrated metrology,” YoungSun Nam, Jang-Sun Kim, Ju Hee Shin, Young-Sin Choi, Sang Ho Yun, Young-Hoon Kim, Si Woo Shin, Jeong-Heung Kong, Young Seog Kang, Hunhwan Ha [9426-38]

  • “Intra-field overlay correction for illumination based distortion,” Michael B. Pike, Timothy A. Brunner, Bradley Morgenfeld, Nick Jing, Timothy J. Wiltshire [9426-39]

  • “Wafer to wafer overlay control algorithm implementation based on statistics,” ByeongSoo Lee, Young Seog Kang, Jeong-Heung Kong, Hyunwoo Hwang, Myeong Gyu Song [9426-40]

  • “Intra-field on-product overlay improvement by application of RegC® and TWINSCANTM corrections,” Ofir Sharoni, Vladimir Dmitriev, Kujan Gorhad, Hakki Ergun Cekli, Jan Mulkens [9424-56]

  • “Pattern recognition and data mining techniques to identify factors in wafer processing and control determining overlay error,” Auguste Lam, Alexander Ypma, Maxime Gatefait, David Deckers, Arne Koopman, Richard J. F. van Haren, Jan Beltman [9424-57]

Jason P. Cain

Martha I. Sanchez

The Diana Nyyssonen Memorial Award for Best Paper

Diana Nyyssonen was a pioneer in the field of dimensional metrology. Her early interests in optics were formed under the tutelage of Professor Edward L. O’Neill at Boston University. In 1965 she went to work for Brian J. Thompson and George B. Parrent, Jr. at Technical Operations, Inc., Burlington, Massachusetts. Diana’s knowledge of physical optics and related modeling grew rapidly as she worked on the teams developing the theory of partially coherent imaging, physical models, equipment and applications for the US government, astronomy, and industry. Her professional circle at that time included George O. Reynolds, John B. DeVelis, Adriaan Walther, Philip S. Considine, Richard J. Becherer, and Richard E. Swing.

00001_psisdg9424_942401_page_19_1.jpg

In 1969 Diana Nyyssonen joined the Image Optics and Photography Section of the Metrology Division at the National Bureau of Standards (NBS), initially working for Calvin S. McCamy on microdensitometry, then on linewidth measurements for integrated circuit manufacturing applications. Sponsored by NBS, Diana also attended the Institute of Optics, University of Rochester at Rochester, New York where Professor B. J. Thompson, Director of the Institute, was her advisor. She completed her PhD in 1975 with her Thesis “High resolution microdensitometry of photographic emulsions” reflecting the scientific foundations and the outlook of the things to come. Dr. Nyyssonen’s modeling of thin films observed by an optical microscope demonstrated that line (space) width smaller than Rayleigh resolution limit can be measured. She produced linewidth measurements in photomasks with calibration based on physical modeling and first principles, establishing the first critical dimension standards for the microelectronics industry and the foundation of today’s dimensional metrology.

Dr. Nyyssonen left NBS in 1985 to form her own metrology company then joined IBM at East Fishkill, New York in 1988. Continuing the development of accurate optical modeling of imaging of thick films with Christopher P. Kirk, NBS and other teams, she started projects on metrology with scatterometry and interference microscopy, modeled imaging in low voltage CD-SEM, and spearheaded the establishment of CD-AFM.

Diana Nyyssonen’s personal contributions to the field of dimensional metrology, as well as her collaborations and mentorships in the industry, consortia, and academia, accelerated and influenced the development of basic technology and metrology applications with optical microscopy and scatterometry, SEM, and AFM.

Metrology, Inspection, and Process Control for Microlithography is the leading international forum for the discussion and presentation of technical advances in the broader field of semiconductor metrology. The Diana Nyyssonen Memorial Award for the Best Paper at this Conference recognizes the most significant current contributions.

Due to the Conference’s long history, significant attendance and high paper counts, to win this Award requires a very significant new contribution to the field. The selection of the best paper is initiated during the Conference by nomination, followed by extensive review by the Program Committee. It is based on both the technical merit and persuasiveness of the oral presentation and the overall quality of the published paper. Past award winners include leading international researchers in the area of semiconductor metrology and process control whose contributions have fundamentally improved the way semiconductors are manufactured.

We are pleased to honor the winners of the Diana Nyyssonen Memorial Award for the Best Paper of 2014, as well as those who have won in previous years:

2014

András E. Vladár, John S. Villarrubia, Jasmeet Chawla, Bin Ming, Joseph R. Kline, Scott List, Michael T. Postek, “10 nm three-dimensional CD-SEM metrology,”Proc. SPIE vol. 9050, 90500A (2014).

2013

Ozan Ugurlu, Michael Strauss, Gavin Dutrow, Jeff Blackwood, Brian Routh, Corey Senowitz, Paul Plachinda, Roger Alvis, “High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEM,” Proc. SPIE vol. 8681, 868107 (2013).

2012

Narender Rana and Dario Goldfarb, “Bridging CD metrology gaps of advanced patterning with assistance of nanomolding,” Proc. SPIE, Vol. 8324, 83241M (2012).

2011

Shiano Ono, Miyuki Yamane, Mitsuo Ogasawara, Akira Katakami, Jiro Yugami, Masanari Koguchi, Hiroyuki Shinada, Hiroshi Kakibayashi, Kazuto Ikeda, and Yuzuru Ohji, “Highprecision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignment,” Proc. SPIE, Vol. 7971, 79710M (2011).

2010

David Laidler, Koen D’havé, Anne-Laure Charley, Philippe Leray, Shaunee Cheng, Mircea Dusa, Peter Vanoppen, and Paul Hinnen, “A single metrology tool solution for complete exposure tool setup,” Proc. SPIE, Vol. 7638, 763809 (2010).

2009

Benjamin Bunday, Aaron Cordes, John Allgair, Vasiliki Tileli, Yohanan Avitan, Ram Peltinov, Maayan Bar-zvi, Ofer Adan, Eric Cottrell, and Sean Hand, “Phenomenology of electron-beam-induced photoresist shrinkage trends,” Proc. SPIE, Vol. 7272, 72721B (2009).

2008

Alok Vaid, Rohit Pal, Matthew Sendelbach, Shahin Zangooie, Kevin Lensing, and Carsten Hartig, “Scatterometry as technology enabler for embedded SiGe process,” Proc. SPIE, Vol. 6922, 69220U (2008).

2007

Matthew Sendelbach, Javier Ayala, and Pedro Herrera, “Predicting electrical measurements by applying scatterometry to complex spacer structures,” Proc. SPIE, Vol. 6518, 651825 (2007).

2006

Masafumi Asano, Takahiro Ikeda, Toru Koike, and Hideaki Abe, “In-line CD metrology with combined use of scatterometry and CD-SEM,” Proc. SPIE, Vol. 6152, 61521V (2006).

2005

John S. Villarrubia and Benjamin D. Bunday, “Unbiased estimation of linewidth roughness,” Proc. SPIE, Vol. 5752, 480 (2005).

2004

Christopher P. Ausschnitt, “A new approach to pattern metrology,” Proc. SPIE, Vol. 5375, 51 (2004).

2003

John S. Villarrubia, Andras E. Vladar, and Michael T. Postek, “Simulation study of repeatability and bias in the CD-SEM,” Proc. SPIE, Vol. 5038, 138 (2003).

2002

Jon L. Opsal, Hanyou Chu, Youxian Wen, Yia-Chung Chang, and Guangwei Li, “Fundamental solutions for real-time optical CD metrology,” Proc. SPIE, Vol. 4689, 163 (2002).

2001

Sylvain Muckenhirn, A. Meyyappan, Kelvin Walch, Mark J. Maslow, Geert Vandenberghe and Johannes van Wingerden, “SPM characterization of anomalies in phase-shift mask and their effect on wafer features,” Proc. SPIE, Vol. 4344, 188 (2001).

The Karel Urbánek Best Student Paper Award

Karel Urbánek studied mathematics and physics at the Charles University in Prague where he was the valedictorian of his class in 1963. After graduation he immigrated to the United States where he soon found employment with Lincoln Labs in Cambridge, Massachusetts. Karel stayed there for a short time before moving to the San Francisco Bay Area and joined Varian Associates, where he worked for Bill Wheeler in the Vacuum Division on semiconductor manufacturing equipment.

Recognizing an opportunity in the new field of sputtering for thin film deposition, Karel joined fellow Varian employees John Schwabacher, Ed Kerswill, Al Lang, and Barry Hart to found Randex in 1970.

00001_psisdg9424_942401_page_22_1.jpg

By 1973 the company was on a very rapid growth trajectory and the founders agreed to an acquisition by Perkin-Elmer in order to provide resources for continued growth. Karel became the research director for the Ultek division in Palo Alto, California.

Sputtering research projects at Perkin-Elmer led to the need to accurately measure the thickness of the deposited film. The primary instruments of the day were known for being difficult to operate and required highly-skilled operators. Seeing an opportunity, some of the original Randex team (Urbánek, Schwabacher, Kren, Hart, and Kerswill) formed a new startup company called Tencor in 1976. Bill Wheeler and Jerry Gabe also joined the Tencor team.

Tencor’s first product was the Alpha-Step profilometer in 1977. The Alpha-Step was a simple, low-cost method for measuring film thickness, step height, and surface morphology and the basic design is still in wide use today. It entered a market where the dominant tool had a reputation for being difficult to use and maintain and for poor repeatability. In contrast, the Alpha-Step was simple to use, robust to environmental changes, highly repeatable, and was capable of being automated. Urbánek even insisted on literally kicking a packing case containing an Alpha-Step across a room and then opening it up to demonstrate that the tool would still work correctly. Setup time was designed to be in the 5-10 minute range where other comparable equipment could take hours.

Karel Urbánek served as CEO and Chairman of the Board of Tencor for fifteen years until his death in 1991. He was also active in the SEMI International Standards Program and was honored in 1992 with the creation of the Karel Urbánek Award, the most prestigious honor for participants in the SEMI International Standards Program.

Karel and his wife Lida were also passionate about supporting education for young people, particularly in science and engineering. Among their contributions are the Karel Urbánek Postdoctoral Fellowship at Stanford University, the Karel Urbánek Teaching Laboratories at Charles University, and the Urbánek /Levy Education Fund, a scholarship fund for children of KLA-Tencor employees.

The Karel Urbánek Best Student Paper Award was created in 2014 to recognize the best paper authored by a current student at the conference. In order to be eligible, the student must be the primary author and must present the work at the conference. It is our great pleasure to recognize this year’s winner along with previous recipients:

2015

Kathleen M. Hoogeboom-Pot, Jorge N. Hernandez-Charpak, Travis Frazer, Xiaokun Gu, Emrah Turgut, Erik H. Anderson, Weilun L. Chao, Justin M. Shaw, Ronggui Yang, Margaret M. Murnane, Henry C. Kapteyn, Damiano Nardi, “Mechanical and thermal properties of nanomaterials at sub-50nm dimensions characterized using coherent EUV beams,” [9424-43]

2014

Thomas Verduin, Cornelis W. Hagen, Pieter Kruit, “Determination of line edge roughness in low dose top-down scanning electron microscopy images,” Proceedings of SPIE Vol. 9050, 90500L (2014).

The first Best Student Paper Award at the conference was given in 2013 to: Gangadhara R. Muthinti, Manasa Medikonda, Jody Fronheiser, Vimal K. Kamineni, Brennan Peterson, Joseph Race, Alain C. Diebold, “Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties,” Proceedings of SPIE Vol. 8681, 86810M (2013).

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9424", Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 942401 (24 April 2015); https://doi.org/10.1117/12.2192299
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Overlay metrology

Process control

Scatterometry

Signal processing

Semiconducting wafers

Electron beam lithography

Back to Top