Paper
20 March 2015 Influence of etch process on contact hole local critical dimension uniformity in extreme-ultraviolet lithography
Gian F. Lorusso, Ming Mao, Liesbeth Reijnen, Katja Viatkina, Roel Knops, Gijsbert Rispens, Timon Fliervoet
Author Affiliations +
Abstract
Contact Hole (CH) Local Critical Dimension Uniformity (LCDU) has a direct impact on device performance. As a consequence, being able to understand and quantifying the different LCDU contributors and the way they evolve during the various process steps is critical. In this work the impact of etch process on LCDU for different resists and stacks is investigated on ASML NXE:3100 and NXE:3300. LCDU is decomposed into shot noise, mask, and metrology components. The design of the experiment is optimized to minimize the decomposition error. CD and LCDU are monitored and found to be stable.

We observed that the net effect of the etch process is to improve LCDU, although the final LCDU is both stack- and resist-dependent. Different resists demonstrate the same LCDU improvement, so that the LCDU after etch will depend on the initial resist performance. Using a stack different from the one used to set up the etch process can undermine the LCDU improvement.

The impact of the various etch steps is investigated in order to identify the physical mechanisms responsible for the LCDU improvement through etch. Both top-down and cross section Scanning Electron Microscopy (SEM) are used. The step-by-step analysis of the etch process showed that the main LCDU improvement is achieved during oxide etch, while the other process steps are either ineffective or detrimental in terms of LCDU. The main cause of the LCDU improvement is then attributed to the polymerization of the CH surface happening during the oxide etch.

Finally, the LCDU improvement caused by the etch process is investigated as a function of the initial LCDU after litho in a relatively broad range (2-15nm). The ratio between LCDU after litho over LCDU after etch is investigated as a function of the initial LCDU after litho for two different resists. The results indicate that the impact of etch on LCDU is characterized by a single curve, specific to the etch process in use and independent of the resist type. In addition, we observe that the percentage LCDU improvement is constant above a certain threshold, in agreement with the throughpitch results.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Gian F. Lorusso, Ming Mao, Liesbeth Reijnen, Katja Viatkina, Roel Knops, Gijsbert Rispens, and Timon Fliervoet "Influence of etch process on contact hole local critical dimension uniformity in extreme-ultraviolet lithography", Proc. SPIE 9425, Advances in Patterning Materials and Processes XXXII, 94250K (20 March 2015); https://doi.org/10.1117/12.2085722
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Semiconducting wafers

Scanning electron microscopy

Oxides

Photomasks

Wet etching

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top