Paper
16 November 2015 EUV High-NA scanner and mask optimization for sub 8 nm resolution
Author Affiliations +
Abstract
EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution. The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase the scanner performance while at the same time reducing the mask requirements.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jan van Schoot, Koen van Ingen Schenau, Gerardo Bottiglieri, Kars Troost, John Zimmerman, Sascha Migura, Bernhard Kneer, Jens Timo Neumann, and Winfried Kaiser "EUV High-NA scanner and mask optimization for sub 8 nm resolution", Proc. SPIE 9635, Photomask Technology 2015, 963503 (16 November 2015); https://doi.org/10.1117/12.2202258
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Imaging systems

Scanners

Extreme ultraviolet lithography

Nanoimprint lithography

Ions

RELATED CONTENT

Extension of practical k1 limit in EUV lithography
Proceedings of SPIE (March 18 2016)
EUV high NA scanner and mask optimization for sub 8nm...
Proceedings of SPIE (March 18 2016)
Alternative reticles for low-k1 EUV imaging
Proceedings of SPIE (September 26 2019)
Anamorphic high-NA EUV lithography optics
Proceedings of SPIE (September 04 2015)
Tuning MEEF for CD control at 65 nm node based...
Proceedings of SPIE (December 27 2002)

Back to Top