Paper
22 March 2016 DSA patterning options for FinFET formation at 7nm node
Author Affiliations +
Abstract
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chi-Chun Charlie Liu, Elliott Franke, Fee Li Lie, Stuart Sieg, Hsinyu Tsai, Kafai Lai, Hoa Truong, Richard Farrell, Mark Somervell, Daniel Sanders, Nelson Felix, Michael Guillorn, Sean Burns, David Hetzer, Akiteru Ko, John Arnold, and Matthew Colburn "DSA patterning options for FinFET formation at 7nm node", Proc. SPIE 9777, Alternative Lithographic Technologies VIII, 97770R (22 March 2016); https://doi.org/10.1117/12.2219670
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Directed self assembly

Line edge roughness

Silicon

Image processing

Lithography

Neodymium

Back to Top