Paper
24 March 2016 Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control
Anil Gunay-Demirkol, Efrain Altamirano Sanchez, Stephane Heraud, Stephane Godny, Anne-Laure Charley, Philippe Leray, Ronen Urenski, Oded Cohen, Igor Turovets, Shay Wolfling
Author Affiliations +
Abstract
In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Anil Gunay-Demirkol, Efrain Altamirano Sanchez, Stephane Heraud, Stephane Godny, Anne-Laure Charley, Philippe Leray, Ronen Urenski, Oded Cohen, Igor Turovets, and Shay Wolfling "Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control", Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 977807 (24 March 2016); https://doi.org/10.1117/12.2220287
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 5 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Scatterometry

Etching

Critical dimension metrology

Diffractive optical elements

Metrology

Optical lithography

Back to Top