Paper
10 May 2016 Multi-beam mask writer MBM-1000 and its application field
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Hirofumi Morita, Satoru Hirose, Munehiro Ogasawara, Hirokazu Yamada, Kiyoshi Hattori
Author Affiliations +
Abstract
NuFlare has started development of multi-beam mask writer MBM-1000 aiming to apply to N5 and to release in Q4 2017. MBM-1000 is based on large area projection optics with shaping aperture array plate, blanking aperture array (BAA) plate, single cathode and inline/realtime data path for vector data rasterization and bitmap dose correction. It is designed to accomplish higher throughput than EBM series (variable shaped beam (VSB) writers) with massive beam array, higher resolution by using 10-nm beam size and 10-bit dose control, and better writing accuracy with more write passes. Configuration of MBM-1000 and flow of data path processing are described. Write time estimation suggests MBM-1000 has advantage over VSB writer with shot count > 200 Gshot/pass and resist sensitivity >75 μC/cm2. Printing test of 20 nm hp 1:1 line and space pattern with ZEP-520 resist showed better beam resolution of MBM-1000 alpha tool than EBM series.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Hirofumi Morita, Satoru Hirose, Munehiro Ogasawara, Hirokazu Yamada, and Kiyoshi Hattori "Multi-beam mask writer MBM-1000 and its application field", Proc. SPIE 9984, Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 998405 (10 May 2016); https://doi.org/10.1117/12.2245177
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Vestigial sideband modulation

Electron beam melting

Photomasks

Data storage

Beam shaping

Data conversion

Lenses

Back to Top