Open Access
16 September 2016 Scanning coherent diffractive imaging methods for actinic extreme ultraviolet mask metrology
Author Affiliations +
Abstract
For the successful implementation of extreme ultraviolet (EUV) lithography in the upcoming technology nodes, a major challenge to overcome is the stable and reliable detection and characterization of mask defects. We have recently presented a reflective mode EUV mask scanning lensless imaging tool (RESCAN) which was installed at the XIL-II beamline of the swiss light source and showed reconstructed aerial images of test patterns on EUV masks. RESCAN uses scanning coherent diffractive imaging (SCDI) methods to obtain actinic aerial images of EUV photomasks and was designed for 80 nm onmask resolution. Our SCDI algorithm reconstructs the measured sample by iteratively solving the phase problem using overdetermined diffraction data gathered by scanning across the specimen with a finite illumination. It provides the phase and amplitude aerial images of EUV photomasks with high resolution without the need to use high numerical aperture (NA) lenses. Contrary to scanning microscopy and full-field microscopy, where the resolution is limited by the spot size or NA of the lens, the achievable resolution with our method depends on the detector noise and NA of the detector. To increase the resolution of our tool, we upgraded RESCAN with a detector and algorithms. Here, we present the results obtained with the tool that is capable of up to 40-nm onmask resolution. We believe that the realization of our prototype marks a significant step toward overcoming the limitations imposed by methods relying on imaging optics and shows a viable solution for actinic mask metrology.

1.

Introduction

Extreme ultraviolet lithography has been developed over the past decades and is considered the most likely candidate for upcoming technology nodes with the industry getting ready for high-volume manufacturing.1 However, the key issue to solve, apart from the source power performance gap, still remains the reliable detection and repair of mask defects.2,3 Actinic mask metrology, being regarded as an essential part of the EUV mask infrastructure, is a major challenge and methods beyond the state-of-the-art are needed. The established metrology tools such as scanning electron microscopy have the disadvantage of being nonactinic, i.e., their imaging response from the absorber, multilayer, and pellicle varies from that of the scanner due to the use of electrons and photons at wavelengths different from the EUV design wavelength.4 Phase defects (resulting from bumps and pits in the substrate below the multilayer) are particularly problematic as these are elusive to detect and difficult to repair.5

Here, we report on the results of our EUV mask scanning lensless imaging tool (RESCAN) which uses a scanning coherent diffractive imaging (SCDI) method.6 SCDI methods were invented a long time ago but were long considered impractical for experimental use due to the stringent restrictions of early algorithms. Fortunately, recent advances in the phase retrieval algorithms,710 such as ptychography, have made SCDI a simple, versatile, and effective technique in applications ranging from hard x-rays to visible light and electrons. It is also used for EUV mask imaging by many groups1113 and to overcome the resolution limitations of lens-based actinic microscopes.14 SCDI uses scattering data recorded with a pixel detector obtained through scanning a finite illumination (probe) across the sample (object) to be imaged. Each subsequent position is chosen so that it overlaps with the previous position to a certain extent. This redundancy in the data is used to retrieve the missing phase and thereby enables robust reconstruction of the complex aerial image of the object without the need for a reference beam or structure. It enables to simultaneously obtain both the phase and amplitude information of the sample with a two-dimensional scan, whereas lens-based imaging methods need through focus scans to extract the phase information. Moreover, SCDI can profit from a large depth-of-focus which is an inherent advantage of CDI methods. Since it is a lensless method, it does not rely on an optical setup and is therefore free of aberrations. The resolution of SCDI is typically limited by the numerical aperture (NA) of the detector, which defines the maximum spatial frequency information acquired. We note that the resolution can also can be limited by various other parameters such as the source stability, illumination parameters, scanning method, mechanical instabilities, detector noise, detector pixel number, and so on.

Since SCDI offers the aforementioned advantages, it can be a powerful method for actinic EUV mask metrology. We developed special algorithms for EUV mask imaging, which evolved from classical SCDI methods toward the specific needs of EUV mask imaging by addressing specific difficulties of EUV mask metrology and making use of specific advantageous aspects of it. In this paper, we describe our tool and show its imaging performance.

To meet the stringent requirements of inspecting a full EUV mask in <7  h, RESCAN employs a two-step procedure. First, scanning scattering contrast microscopy (SSCM) is used to provide a rapid defect search directly in Fourier space without the need for computationally expensive object reconstruction, albeit with inaccurate defect locations.15 This gives a rough guess of the defect location with an uncertainty bounded by the spot size of the incident illumination. Using this information, fine scans are done in the areas where a defect was detected. The subsequent reconstruction provides accurate information of the defect, both in location and defect type. The size of the smallest detectable defect is then limited only by the achievable resolution in the reconstructed data. The achievable defect sensitivity for SSCM is currently being evaluated through simulation and experiment.

2.

Experimental Setup

The experimental setup of RESCAN is installed at the XIL-II beamline of the Swiss light source (SLS). The XIL-II beamline was designed for interference lithography and provides a spatially coherent beam of EUV light (λ=13.5  nm) with a bandwidth of ~4 %. The RESCAN chamber is connected to the interference lithography chamber, sharing the same optical table. It incorporates all the necessary components needed for SCDI from EUV masks, i.e., EUV beam manipulation optics (focusing, monochromatization) for probe generation, a high-resolution sample handling stage and a pixel detector. We note that the XIL-II beamline is optimized for interference lithography applications. The conditions for lensless metrology are far from ideal. The large bandwidth, in particular, severely limits imaging experiments that rely on monochromatic illumination.

In Fig. 1(a), the schematics of the experimental chamber are shown. The beam enters the chamber from the left and is then focused by a Fresnel zone plate (FZP) with a focal length of 142 mm. To ensure that the focus coincides with the pinhole plane after being reflected from the Si/Mo multilayer (ML) mirror, the FZP was mounted on a piezoelectric x-y-z stage and the position adjusted accordingly. In the current setup, no order sorting aperture (OSA) was used and the focused beam is aligned to the 4-μm pinhole [cf. Fig. 1(b)] by positioning the ML mirror using two piezoelectric motors. Using a small pinhole at the focal plane before allowing the incident illumination to be diffracted on the sample ensures that only the first-order diffraction of the FZP reaches the sample. Due to the wavelength dependent focusing properties of the FZP, the bandwidth is thus reduced from the initial 4% to 0.5%. The pinhole and aperture were manufactured on a silicon-nitride membrane using electron beam lithography, metal deposition, and partial etching of the nitride support. Both the FZP and the pinhole assembly were manufactured in-house. RESCAN was designed as a versatile tool for scattering lensless imaging techniques at EUV wavelengths and further results have been published.15

Fig. 1

Schematic view of the experimental setup. (a) Cross-section of the RESCAN chamber showing the beam path (light blue line). After entering, the beam is focused by a FZP and reflected from an ML mirror through a pinhole onto the sample. The resulting diffraction patterns are recorded on a CCD detector which is not shown in this figure. The OSA was not used for the present experiment. (b) Close-up view of the pinhole assembly. The focused beam with 4% bandwidth passes through a 4-μm pinhole whereby the bandwidth is reduced to 0.5%.

JM3_15_3_034006_f001.png

After passing through the pinhole, the monochromatized beam expands and illuminates the sample at an angle of 6 deg with a spot size of about 8  μm. The pinhole-to-sample distance is 0.7  mm. The reflected beam from the sample passes through a 300×300  μm2 aperture on the pinhole assembly [Fig. 1(b)] and reaches the detector which is set at a distance of 77  mm from the sample. The diffraction patterns are captured by a 2048×2048  pixel CCD camera (Princeton Instruments, PI-MTE2048B) with the exposure time for the data presented here set to 250 ms, which leads to the best use of the 16-bit dynamic range of the CCD detector without saturation. The sample is mounted on a piezoelectric x-y-z stage operated in closed-loop configuration with an accuracy of a few nanometers. The diffraction patterns are collected in sequence of moving the sample, actuating the shutter (triggered by the CCD controller), and reading out the CCD data in an automatic manner using EPICS software. The throughput bottleneck is the frame rate of the detector which is below 1 Hz.

The test sample used for the data presented here (cf. Fig. 2) was fabricated in-house by spin-coating hydrogen silsesquioxane (HSQ) resist with a thickness of 60  nm onto an Si wafer coated with an Si/Mo ML. The HSQ absorber structures were then patterned by electron beam lithography and consist of several gratings with programmed defects, a Siemens star, L-shapes, square patterns, and several more complicated structures. The four gratings shown have a half-pitch (hp) of 1  μm, 500, 250, 100 nm from bottom to the top and left to right, respectively. We note the sample is not a state-of-the-art EUV mask and exhibits poor contrast due to the high EUV-transparency of the HSQ resist.

Fig. 2

SEM micrograph of the test sample. The absorber structures consist of HSQ that was spin coated on an ML wafer and then patterned using electron beam lithography

JM3_15_3_034006_f002.png

3.

Results

Figure 3 shows both the magnitude and phase of the reconstructed aerial image from our test sample shown in Fig. 2. For this specific experiment, we acquired 220 diffraction patterns with a step size of 3  μm between subsequent scan positions resulting in a 50×50  μm2 field-of-view, thereby guaranteeing sufficient overlap for the used probe with a diameter of 8  μm. The positions were chosen with small randomness in x- and y-axes to ensure that they would not form a regular grid. If all scan positions lie on a perfectly regular grid, an additional degree of freedom is introduced into the reconstruction process which allows any function with the same periodicity as the scanned grid as a valid solution for reconstruction and leads to artifacts.9

Fig. 3

Reconstructed aerial image of a sample (a) magnitude map of the image. The inlay shows a close-up of the smallest grating with 100-nm hp. (b) Phase map of the image. The jumps in value stem from the fact that the phase can only be reconstructed modulo 2π and is therefore wrapped around π to π.

JM3_15_3_034006_f003.png

For this reconstructed aerial image, we used an SCDI method based on the difference map algorithm (DMA).8 In this approach, both the incident illumination on the sample (probe) and the sample structure (object) are iteratively reconstructed at the same time. The phase information is retrieved by alternately updating the probe and the object and searching for a consistent solution within two constraint sets, which are

  • (i) overlap constraint: The data in the overlapping regions has to agree.

  • (ii) Fourier constraint: The reconstructed intensity must be equal to the measured intensity.

Assuming that the measured intensity signal can be decomposed into probe and object, the algorithm converges to a valid solution. In the presence of noise (i.e., read out noise of the detector and photon shot noise) and under other experimental imperfections (position inaccuracy, limited monochromaticity, and so on) any local minimum (i.e., any solution that marks a point in the intersection of the two constraint sets) is a valid solution. Therefore, the algorithm never fully converges, but alternates between possible solutions near the minimum, leading to some artifacts in the reconstructed aerial image. To mitigate this problem, the reconstructed aerial image is averaged over a number of iterations. In the present case, we obtained the final aerial image by running 300 iterations in total and averaging from 240 to 300 using every fourth iteration. Before reconstruction, a prerecorded background (dark signal) was subtracted from each dataset and the resulting image cropped to center the diffraction pattern. As we noticed that the CCD detector is misaligned with respect to the sample x- and y-axes, we rotated the coordinate system offline to accommodate for this offset.

From the resulting reconstructed aerial image we calculated the achieved resolution by taking various 10% to 90% edge profiles from the gratings as well as the Siemens star and arrived at an average value over the full field-of-view of 60 nm (corresponding to 15 nm on wafer). Under the present experimental configuration (the NA of the detector and the 0.5% beam monochromaticity, and so on) this is a remarkable achievement as the NA limited resolution is 51  nm (on mask) and we obtained a value close to the theoretical limit.

In addition, we employed a simple procedure to increase the resolution beyond the limit set by the detector. We created a virtual detector with larger NA (i.e., more pixels) by zero-padding each diffraction pattern.16 During the reconstruction, the pixels in the zero-padded area were allowed to take any value, thereby enabling the algorithm to extrapolate the measured diffraction data into this extended region. We then measured the 10%-90% edge response for the smallest grating with 100 nm hp [cf. the inset in Fig. 3(a)]. By extending the original diffraction patterns from 1500×1500  pixels to 3000×3000  pixels, the pixel size of the reconstructed aerial image was reduced from 51  nm to 26  nm. As can be seen in Fig. 4, the resolution calculated from the edge response averaged over 5 lines could be increased to 40 nm in this specific area.

Fig. 4

About 10% to 90% edge response of the 100-nm hp grating (a) close-up view of five lines from the grating shown in the inset of Fig. 3(a) (top) and the corresponding cross section (bottom); (b) About 10% to 90% edge response averaged over the five lines where the horizontal lines at the bottom and top mark the 0% and 100% values, respectively. Each line consists of eight points and the average of the first seven is shown here.

JM3_15_3_034006_f004.png

4.

Conclusions and Outlook

Using RESCAN, we have been able to achieve an average onmask resolution of 60 nm over a 50×50  μm2 field-of-view. Moreover, using zero-padding of the accumulated diffraction patterns and allowing the DMA to extrapolate the measured data, we have been able to achieve a resolution of 40 nm. In the future, we would like to increase the resolution by increasing the physical NA.

The reconstructed aerial images still feature some artifacts. We attribute this to the experimental parameters, such as monochromaticity, in combination with the algorithms. In the near future, a chamber will be installed, providing better beam conditions and experimental control to mitigate these effects. A major improvement will be avoiding the use of FZP and pinhole and focusing the beam with a simple reflective condenser enabling more flexibility and better control of the experimental parameters. Moreover, we will further improve the algorithms to minimize the artifacts.

In addition, a metrology branch at the XIL-II beamline of SLS is under construction. It will be a dedicated tool for further development of lensless microscopy methods for actinic EUV mask metrology. Future work includes studying the effects of the experimental parameters on the performance of EUV imaging. Further hardware development, such as fast frame rate detectors, will significantly increase the throughput.

Acknowledgments

We would like to express our gratitude to all of the members of our group at PSI, the XIL-II beamline and also Manuel Guizar-Sicairos for technical assistance and fruitful discussions. Furthermore, we thank the Swiss National Science Foundation (SNF) and NuFlare Technology Inc., Japan, for financial support.

References

1. 

E. Hendrickx et al., “Readiness of EUV lithography for insertion into manufacturing: the IMEC EUV program,” J. Photopolym. Sci. Technol., 26 587 –593 (2013). http://dx.doi.org/10.2494/photopolymer.26.587 JSTEEW 0914-9244 Google Scholar

2. 

D. Uzzel et al., “A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition,” Proc. SPIE, 8880 888029 (2013). http://dx.doi.org/10.1117/12.2027766 PSISDG 0277-786X Google Scholar

3. 

K. A. Goldberg et al., “Commissioning an EUV mask microscope for lithography generations reaching 8 nm,” Proc. SPIE, 8679 867919 (2013). http://dx.doi.org/10.1117/12.2011688 PSISDG 0277-786X Google Scholar

4. 

I. Mochi et al., “Actinic imaging of native and programmed defects on a full-field mask,” Proc. SPIE, 7636 76361A (2010). http://dx.doi.org/10.1117/12.846670 PSISDG 0277-786X Google Scholar

5. 

K. Goldberg and I. Mochi, “Wavelength-specific reflections: a decade of EUV mask inspection research,” in Int. Workshop on EUV Lithography, (2009). Google Scholar

6. 

W. Hoppe, “Beugung im inhomogenen Primärstrahlwellenfeld. I. Prinzip einer Phasenmessung von Elektronenbeungungsinterferenzen,” Acta Crystallogr. A, 25 (4), 495 –501 (1969). http://dx.doi.org/10.1107/S0567739469001045 Google Scholar

7. 

J. M. Rodenburg and H. M. L. Faulkner, “A phase retrieval algorithm for shifting illumination,” Appl. Phys. Lett., 85 (20), 4795 (2004). http://dx.doi.org/10.1063/1.1823034 APPLAB 0003-6951 Google Scholar

8. 

P. Thibault et al., “High-resolution scanning x-ray diffraction microscopy,” Science, 321 379 –382 (2008). http://dx.doi.org/10.1126/science.1158573 SCIEAS 0036-8075 Google Scholar

9. 

P. Thibault et al., “Probe retrieval in ptychographic coherent diffractive imaging,” Ultramicroscopy, 109 338 –343 (2009). http://dx.doi.org/10.1016/j.ultramic.2008.12.011 ULTRD6 0304-3991 Google Scholar

10. 

A. M. Maiden and J. M. Rodenburg, “An improved ptychographical phase retrieval algorithm for diffractive imaging,” Ultramicroscopy, 109 1256 –1262 (2009). http://dx.doi.org/10.1016/j.ultramic.2009.05.012 ULTRD6 0304-3991 Google Scholar

11. 

M. D. Seaberg et al., “Ultrahigh 22 nm resolution coherent diffractive imaging using a desktop 13 nm high harmonic source,” Opt. Express, 19 22470 –22479 (2011). http://dx.doi.org/10.1364/OE.19.022470 OPEXFF 1094-4087 Google Scholar

12. 

D. F. Gardner et al., “High numerical aperture reflection mode coherent diffraction microscopy using off-axis apertured illumination,” Opt. Express, 20 19050 –19059 (2012). http://dx.doi.org/10.1364/OE.20.019050 OPEXFF 1094-4087 Google Scholar

13. 

L. Juschkin et al., “Tabletop coherent diffraction imaging with a discharge plasma EUV source,” Proc. SPIE, 8849 88490Y (2013). http://dx.doi.org/10.1117/12.2023895 PSISDG 0277-786X Google Scholar

14. 

A. J. Wojdyla, M. P. Benk and K. A. Goldberg, “Fourier ptychography imaging for the study of EUV lithography photomasks,” Proc. SPIE, 9776 97761F (2016). http://dx.doi.org/10.1117/12.2219937 PSISDG 0277-786X Google Scholar

15. 

I. Mohacsi et al., “Scanning scattering contrast microscopy for actinic EUV mask inspection,” Proc. SPIE, 9778 97781O (2016). http://dx.doi.org/10.1117/12.2220027 PSISDG 0277-786X Google Scholar

16. 

A. M. Maiden et al., “Superresolution imaging via ptychography,” J. Opt. Soc. Am. A, 28 604 (2011). http://dx.doi.org/10.1364/JOSAA.28.000604 JOAOD6 0740-3232 Google Scholar

Biography

Patrick Helfenstein received his bachelor’s and master’s degrees in physics from the Swiss Federal Institute of Technology (ETH) in 2008 and 2009, respectively. He then entered a PhD program at the Paul Scherrer Institute in Villigen, Switzerland. After successful completion of his PhD thesis in 2013, he joined the Samsung Advanced Institute of Technology (SAIT) in South Korea as a senior scientist. In 2015, he returned to Switzerland to join the RESCAN project.

Istvan Mohacsi: Biography is not available.

Rajendran Rajeev graduated from Tata Institute of Fundamental Research, India, in 2012 where he performed experiments aimed at studying the interaction of intense light pulses with matter. After a year as a guest researcher at the University of Maryland, working on laser-based electron acceleration, he went to ETH, Switzerland, where he was involved into the generation of coherent EUV radiation using laser–based high harmonic sources. Presently, he is a postdoctoral scientist at Paul Scherrer Institute and is involved with the RESCAN project.

Yasin Ekinci received his bachelor’s degree in physics at Middle East Technical University, Ankara, in 1997 and his master’s degree in engineering sciences at the University of De Montfort, Leicester, UK, in 1999. He obtained his PhD at Max-Planck Institute for Dynamics and Self-Organization, Göttingen, Germany, in 2003. He is the head of the Advanced Lithography and Metrology Group working in the field of nanolithography and metrology at EUV wavelength. He is also the manager of the XIL-II beamline at SLS. He has research experience more than 10 years in the fields of nanophotonics, EUV lithography, interference lithography, holography, and imaging. He is author/coauthor of more than 115 papers and 130 conference contributions.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Patrick Helfenstein, Istvan Mohacsi, Rajendran Rajeev, and Yasin Ekinci "Scanning coherent diffractive imaging methods for actinic extreme ultraviolet mask metrology," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(3), 034006 (16 September 2016). https://doi.org/10.1117/1.JMM.15.3.034006
Published: 16 September 2016
Lens.org Logo
CITATIONS
Cited by 17 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Photomasks

Coherence imaging

Sensors

Metrology

Diffraction

Reconstruction algorithms

Back to Top