Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
We report reliability testing results for Ni-Fe when used as a structural material in a MEMS gyroscope. The tests are performed on a 2-degree-of-freedom torsional gyroscope fabricated by an SU-8-based ultraviolet-lithographie, galvanoformung, abformung process having 8-μm-thick Ni-Fe as the structural layer. The device is vacuum packaged in a 24-pin dual in-line package with a glass lid before measuring its frequency response and extracting the mode shapes using laser Doppler vibrometer. To check the reliability of the Ni-Fe structural layer, three types of tests, fatigue, shock, and vibration, are conducted. In fatigue testing, the packaged device is excited at its resonance frequency for more than a billion cycles, and it is checked periodically for any physical damage or change in the resonance frequency. The shock test is performed by dropping the device chip on a hard surface floor from 1 m height. The vibration test is carried out by subjecting the packaged device to more than 4g acceleration having frequency of 200 Hz for 30 min.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Technology node scaling to the 7-nm node, self-aligned quadruple patterning plus cutting/blocking is widely adopted as a lithography solution for critical line and space layers. The cutting/blocking process can be done by 193i or EUV lithography. Due to resolution requirements in both X/Y directions, 193i requires two or three exposures to accomplish the cutting/blocking process, and the overlay among the exposures must be controlled very tightly. EUV can accomplish cutting/blocking by one exposure. However, the extremely high cost of EUV tool and mask, together with not so high throughput, appears to suggest that EUV lithography is not a cost-effective solution. From both technical and cost perspectives, we explore the possibility of using multi-e-beam lithography as an alternative solution for 7-nm cutting/blocking layers. First, we analyze design rules, which define resolution and overlay requirements of the cutting/blocking patterns. Then we report the lithography performance data of our leading-edge multi-e-beam tool and compare them with the cutting/blocking requirements. Finally, we do the cost analysis. Our results indicate that multi-e-beam lithography has a cost per wafer per layer advantage if it can commit a resolution of 32-nm half pitch, an overlay of <2.8 nm, and a throughput of 5 to 10 wph.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
The main purpose of this work is the experimental determination of the process window for achromatic Talbot lithography with partially coherent extreme ultraviolet (EUV) radiation. This work has been performed using the EUV laboratory exposure tool. It consists of a discharge produced plasma source with a direct beam path to a phase-shifting transmission mask, avoiding losses due to additional optical components, the photoresist-coated wafer, and a positioning system for each component. Both the source and the mask are optimized for 11-nm wavelength. The process window has been identified by a systematic analysis of several exposure series. The optimization of exposure parameters resulted in 50-nm half-pitch of the wafer features using a transmission mask with a rectangular dot array of 70-nm half-pitch. The depth of field is found to be 20 μm, and it can be extended by spatial filtering. The exposure dose and mask–wafer distance are varied around their optimal values to estimate the process window, using defectivity of the pattern as a control parameter.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35 nm), good DSA performances are achieved: CDU -3σ = 1.2 nm, PE-3σ = 1.2 nm, and HOY = 100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Effective layout pattern sampling is a fundamental component for lithography process optimization, hotspot detection, and model calibration. Existing pattern sampling algorithms rely on either vector quantization or heuristic approaches. However, it is difficult to manage these methods due to the heavy demands of prior knowledge, such as high-dimensional layout features and manually tuned hypothetical model parameters. We present a self-contained layout pattern sampling framework, where no manual parameter tuning is needed. To handle high dimensionality and diverse layout feature types, we propose a nonlinear dimensionality reduction technique with kernel parameter optimization. Furthermore, we develop a Bayesian model-based clustering, through which automatic sampling is realized without arbitrary setting of model parameters. The effectiveness of our framework is verified through a sampling benchmark suite and two applications: lithography hotspot detection and optical proximity correction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
The use of directed self-assembly (DSA) of cylinder forming block copolymers (BCP) for contact hole shrink applications has gained increased attention due to the dimensions that can be achieved with this materials. Recent work has focused on engineering the dimensions and surface energy of the templates to obtain straight profiles of the cylinders assembled in them. However, the impact of process optimization on defect formation is measured using scanning electron microscopy before and after transferring the BCP features to a hardmask, which provides limited information about the presence of defects or three-dimensional morphologies in the polymer structures. To identify the presence of single defects in arrays of various densities and sizes, we use Kelvin and chain structures available in the IMEC 28-nm node via chain electrical test vehicle, Everest, in combination with templated DSA. We tuned the surface energy and dimensions of the templates with the use of random copolymers and through the exposure conditions, respectively. Finally, the contact holes obtained with templated DSA of BCP were subsequently transferred into a relevant stack to apply advanced metallization processes and, ultimately, validated electrically.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Major advancements in the directed self-assembly (DSA) of block copolymers have shown the technique’s strong potential for via layer patterning in advanced technology nodes. Molecular scale pattern precision along with low cost processing promotes DSA technology as a great candidate for complementing conventional photolithography. Our studies show that decomposition of via layers with 193-nm immersion lithography in realistic circuits below the 7-nm node would require a prohibitive number of multiple patterning steps. The grouping of vias through templated DSA can resolve local conflicts in high density areas, limiting the number of required masks, and thus cutting a great deal of the associated costs. A design method for DSA via patterning in sub-7-nm nodes is discussed. We present options to expand the list of usable DSA templates and we formulate cost functions and algorithms for the optimal DSA-aware via layout decomposition. The proposed method works a posteriori, after place-and-route, allowing for fast practical implementation. We tested this method on a fully routed 32-bit processor designed for sub-7 nm technology nodes. Our results demonstrate a reduction of up to four lithography masks when compared to conventional non-DSA-aware decomposition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
As the physical design of semiconductors continues to shrink, the lithography process is becoming more sensitive to layout design. Identifying lithography hotspots (HSs) in the layout design stage appears to be more and more crucial for fast semiconductor development. In this direction, we propose an accurate HS detection method using convolutional neural networks. Our approach produces more accurate detection performance (95.5% recall and 22.2% precision) compared to previous approaches. In spite of the use of deep convolutional neural networks, our method achieves a fast detection time of 0.72 h/mm2. In order to quickly and accurately detect HSs, we not only utilize the nature of convolutional-neural networks but also make additional technical efforts to improve the performance of our framework, including inspection region reduction, data augmentation, DBSCAN clustering, modified batch normalization, and fast image scanning. To the best of our knowledge, our approach is the first CNN-based lithography HS detection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
We shed light on the optimization of lithographic metrics for the semi-isolated dark field two-bar logic building block. Under standard D90Y illumination, this building block suffers from large mask three-dimensional-induced relative focus-dependent critical dimension (CD) asymmetries. Such behavior limits its overlapping process window (oPW) and gives rise to untenable full wafer CD uniformity and intrafield pattern shifts. We found that besides a Ta absorber thickness reduction and illumination, pupil optimization is necessary to fully remove these CD asymmetries. The pupil optimization is achieved by relating the aerial image decomposition (here, symmetrization and balancing of intensities across the diffracted orders) with lithographic metrics for each pupil plane location. The resulting pupil allows us (i) to lift the focus-dependent CD asymmetries and (ii) to co-optimize a number of lithographic metrics, such as oPW, contrast, nontelecentricity, and pattern shift. The importance of subsidiary conditions (e.g., symmetry of the pupil, required depth-of-focus) will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Typically, the printing of contact patterns uses a dark-field (DF) mask in combination with a positive tone resist and positive tone development (PTD) process. PTD, which has a mature process and simulation model, had been widely applied in high-volume manufacturing. For the low aerial image quality of a DF mask in advanced node, PTD is substituted by negative tone development (NTD), which uses a positive tone resist and bright-field mask. Due to the high cost and immature simulation model of NTD process, it is worthwhile to extend PTD to some critical patterns. With the purpose of improving the resist profile and process window (PW) of the contact pattern with a PTD process in advanced node, an optimization method combined with the idea of a genetic algorithm is put forward. For performance of the optimized resist under the conditions of best focus and best dose, an evaluation based on the through pitch square contact patterns with the critical dimension (CD) fixed at 50 nm has been provided. The generalization performance of the optimized resist is also analyzed by a systematic method, which contains the resist profile and PW simulation on the base of through CD and through pitch contact patterns. The above simulation results verify the effectiveness and validity of the proposed optimization method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
A methodology to determine the optimum measurement condition of extreme ultraviolet (EUV) resist patterns in a critical dimension scanning electron microscope has been established. Along with many parameters that need to be optimized simultaneously, there are conflicting requirements of small resist shrinkage and high measurement precision. To overcome these difficulties, we have developed a methodology for ArF resist patterns from shrinkages and precisions predicted by the Taguchi method. In this study, we examined the extendibility of the methodology to sub-20 nm EUV resist patterns. The predicted shrinkage by the Taguchi method for an 18 nm EUV resist pattern showed a large prediction error due to its different dependence on acceleration voltage from ArF, so we used the shrinkage curve to predict shrinkage instead of the Taguchi method, as shrinkage depends only on irradiated electron dose. In contrast, precision can be predicted well by the Taguchi method as with ArF. We propose a methodology that consists of separate prediction procedures for shrinkage and precision using the shrinkage curve and Taguchi method, respectively. The proposed method was applied to an 18-nm EUV resist pattern. The optimum measurement condition with shrinkage of 1.5 nm and precision of 0.12 nm was determined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
One of the key challenges in critical dimension (CD) metrology is finding suitable dimensional calibration standards. The transmission electron microscope (TEM), which produces lattice-resolved images having scale traceability to the SI (International System of Units) definition of length through an atomic lattice constant, has gained wide usage in different areas of CD calibration. One such area is critical dimension atomic force microscope (CD-AFM) tip width calibration. To properly calibrate CD-AFM tip widths, errors in the calibration process must be quantified. Although the use of TEM for CD-AFM tip width calibration has been around for about a decade, there is still confusion on what should be considered in the uncertainty analysis. We characterized CD-AFM tip-width samples using high-resolution TEM and high angle annular dark field scanning TEM and two CD-AFMs that are implemented as reference measurement systems. The results are used to outline how to develop a rigorous uncertainty estimate for TEM/CD-AFM calibration, and to compare how information from the two electron microscopy modes are applied to practical CD-AFM measurements. The results also represent a separate validation of previous TEM/CD-AFM calibration. Excellent agreement was observed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Using rigorous coupled wave analysis (RCWA) and finite element method (FEM) simulations together, many interesting ellipsometric measurements can be investigated. This work specifically focuses on simulating copper grating structures that are plasmonically active. Looking at near-field images and Mueller matrix spectra, understanding of physical phenomena is possible. A general strategy for combatting convergence difficulties in RCWA simulations is proposed and applied. The example used is a copper cross-grating structure with known slow convergence. Baseline simulations on simple samples are provided for comparison and determination of FEM accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Phase-structured illumination is investigated as a possible extension of scatterometric measurement methods for silicon line gratings. This is done by means of rigorous simulations. Special emphasis is put on the capability of this approach to detect nanoscale fabrication asymmetries such as sidewall angles, bottom rounding, and floor tilt. The studied setup features a focused spot (numerical aperture=0.7), i.e., scanned over the sample, while analyzing the phase distribution in the image plane. This phase distribution can be accessed via holographic imaging. The results are compared to conventional nonstructured illumination. It is shown that by employing phase structuring, the resulting phase changes are larger, even if only symmetric deviations are considered. For asymmetric deviations, phase-structured illumination provides much higher sensitivity and better capability to detect the sign of the asymmetry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
The critical dimension atomic force microscopy (CD-AFM) has been proposed as an instrument for contour measurement and verification since its capabilities are complementary to the widely used scanning electron microscopy (SEM). Although data from CD-AFM are three dimensional (3-D) in structure, the planar two-dimensional data required for contour metrology are not easily extracted from CD-AFM data. This is largely due to the limitations of the CD-AFM method for controlling the tip position and scanning, in which the relevant sidewall data are only obtained in one lateral axis. To use CD-AFM for contour metrology, the extracted profiles must include actual sidewall data from both lateral axes. Using two images acquired from orthogonal scan directions, profile extraction, and a method to combine those profiles, a technique for obtaining contours with the CD-AFM is developed. The main sources of error for this technique are described. The contours derived from CD-AFM were compared with those obtained using the SEM. Our results show that CD-AFM has the potential to make important contributions to semiconductor contour metrology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Deep proton writing (DPW) is a fabrication technology developed for the rapid prototyping of polymer microstructures. We use polymethylmethacrylate (PMMA) substrates, which act as a positive resist, for irradiation with a collimated 12-MeV energy proton beam. Using 12 MeV enables the irradiation of increasingly thick PMMA substrates with less conicity of the sidewalls compared to the lower energies used in previous work. A microhole of 47.7 μm diameter over a depth of 1 mm is achieved, leading to a maximum aspect ratio of 21∶1. The sidewalls of the irradiated structures show a slightly conical shape and their root-mean-square surface roughness is lower than 50 nm averaged over 72 measured areas of 56 μm×44 μm. This means that DPW components have optical surface quality sidewalls for wavelengths larger than 400 nm. Based on the trade-off among the sidewall roughness, conicity, and the development time, we determine that the optimal proton fluence for 12-MeV DPW in PMMA is 7.75×106μm−2. Finally, we discuss some high aspect ratio microstructures with optical surface quality that were created with DPW to be used for a myriad of applications, such as micromirrors, microlenses, optofluidic devices, and high-precision alignment structures for single-mode optical fiber connectors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
The integration of microfluidics with living biological systems has paved the way to the exciting concept of “organs-on-a-chip,” which aims at the development of advanced in vitro models that replicate the key features of human organs. Glass-based devices have long been utilized in the field of microfluidics but the integration of alternative functional elements within multilayered glass microdevices, such as polymeric membranes, remains a challenge. To this end, we have extended a previously reported approach for the low-temperature bonding of glass devices that enables the integration of a functional polycarbonate porous membrane. The process was initially developed and optimized on specialty low-temperature bonding equipment (μTAS2001, Bondtech, Japan) and subsequently adapted to more widely accessible hot embosser units (EVG520HE Hot Embosser, EVG, Austria). The key aspect of this method is the use of low temperatures compatible with polymeric membranes. Compared to borosilicate glass bonding (650°C) and quartz/fused silica bonding (1050°C) processes, this method maintains the integrity and functionality of the membrane (Tg 150°C for polycarbonate). Leak tests performed showed no damage or loss of integrity of the membrane for up to 150 h, indicating sufficient bond strength for long-term cell culture. A feasibility study confirmed the growth of dense and functional monolayers of Caco-2 cells within 5 days.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Thermal interface materials (TIMs) are of crucial importance in enhancing heat transfer and minimizing exceedingly high temperatures in high-density electronics. TIMs functionally aim to reduce the microscale crevices by penetrating the gap between the contacting rigid surfaces. We prepared silver nanoparticles (SNPs) and single-wall carbon nanotubes (SWCNTs)-based nanocomposites with graphite nanoplatelets (GNPs) by using a screen printing technique for conformal spreading of SNPs and SWCNTs with various weight-loading ratios on top of a layer containing the GNPs and measured its thermal conductivity and electrical conductivities in both through-plane and in-plane directions. In particular, the 10% SNPs enhanced TIMs showed highly anisotropic behavior in both electrical and thermal conductivities, viz., in-plane electrical conductivity exceeds its through-plane counterpart by three orders of magnitude, the highest in-plane electrical conductivity was 7.85 S/cm, and through-plane electrical conductivity was 0.00287 S/cm. Similarly, anisotropic behavior was found for the in-plane thermal conductivity ∼8.4 W/mK and through-plane thermal conductivity ∼0.35943 W/mK. In addition, scanning electron microscopy (SEM) was performed to reveal the typical morphology and elements’ existence of screen-printed TIMs. The proposed TIMs were put into the actual 15-kW converter to test the thermal management performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
The performance of an AlN/sapphire temperature sensor operated at high temperature is investigated. To optimize the output performance, several different structural surface acoustic wave devices are fabricated, including one-port resonator and delay lines with various gaps. The effects of the electromechanical coupling coefficient (K2), insertion loss, and temperature coefficient of frequency on temperatures are demonstrated in detail. K2 increases with the increasing of the temperature and the insertion loss increases at the beginning, but decreases at higher temperatures due to the influence of the rising K2. The frequency responses of both the resonator and delay lines show very good linearity with temperature and both of them exhibit excellent stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
We propose an optical-axis alignment technique with high accuracy and high speed using two microelectromechanical-systems mirrors as optical deflectors. The mirrors are vibrated by small perturbations with two different frequencies, and the angle errors of each mirror are separated accurately by applying discrete Fourier transformation on the waveform of the optical power. The cycle time of correction is 5 ms, and the optical power reaches the optimum level within 15 ms at 30-dB loss.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
A multiple moving membrane capacitive micromachined ultrasonic transducer has been developed. This transducer cell structure includes a second flexible plate suspended between the transducer top plate and the fixed bottom electrode. The added plate influences the transducer top plate deflection map and, therefore, the transducer properties. Three series of individual air-coupled, dual deflectable plate transducers and two 1×27 element transducer arrays were fabricated using multiuser microelectromechanical systems (MEMS) processes (MUMPs). Each set of transducers included devices with middle plate radii from 22% to 65% of the corresponding transducer top plate radius. The effect of the transducer middle plate configuration has been investigated. Electrical, optical, and acoustic characterizations were conducted and the results were compared with the simulation findings. It was found that the transducer top plate amplitude of vibration is significantly enhanced with a wider middle deflectable plate. The electrical and optical measurement results are shown to be in good agreement with simulation results. The acoustic measurement results indicated a 37% increase in the amplitude of transmitted signal by the 1-MHz air-couple transducer when its middle plate radius was increased by 35%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
Fast multiaxis scanning is useful for not only optical but also acoustic microscopic imaging. Although they have been used for optical scanning, the application of (MEMS) scanning mirrors in acoustic microscopy is still very limited due to their small mirror plate size, and more importantly, inability to operate in liquids (as ultrasound coupling media). A microfabricated two-axis water-immersible scanning mirror for optical and acoustic microscopy is reported. It has an optical and acoustically reflective mirror plate (6mm×4mm) to provide numerical aperture for ultrasound beam steering. Electromagnetic and mechanical analysis and simulation were conducted to estimate the mechanical tilting angle and resonance frequency of both fast and slow axes, which matches well with the measurement results. The fast axis has a resonant frequency of 320 Hz in air and 220 Hz in water, which is more than 10 times higher than that of the slow axis (24 Hz in air and 14 Hz in water). Under a 100-mA driving current, the scanning angles of the fast axis reached ±9.5deg in both air and water at the resonance frequency, respectively. The scanning angles of the slow axis reached ±15deg in air and ±12.5deg in water at resonant frequencies, respectively. Raster scanning of a collimated laser beam was achieved by driving both axes simultaneously close to their own resonance frequencies. The feasibility of using the two-axis water-immersible scanning mirror in scanning acoustic microscopy was also demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.
It has long been recognized in the design of micromirror-based optical systems that balancing static flatness of the mirror surface through structural design with the system’s mechanical dynamic response is challenging. Although a variety of mass reduction approaches have been presented in the literature to address this performance trade, there has been little quantifiable comparison reported. In this work, different mass reduction approaches, some unique to the work, are quantifiably compared with solid plate thinning in both curvature and mass using commercial finite element simulation of a specific square silicon-on-insulator–based micromirror geometry. Other important considerations for micromirror surfaces, including surface profile and smoothness, are also discussed. Fabrication of one of these geometries, a two-dimensional tessellated square pattern, was performed in the presence of a 400-μm-tall central post structure using a simple single mask process. Limited experimental curvature measurements of fabricated samples are shown to correspond well with properly characterized simulation results and indicate ∼67% improvement in radius of curvature in comparison to a solid plate design of equivalent mass.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.