Open Access
16 March 2017 Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool
Yow-Gwo Wang, Andrew R. Neureuther, Patrick P. Naulleau
Author Affiliations +
Abstract
We discuss the impact of various noise sources and the optical design in bright field extreme ultraviolet (EUV) actinic inspection of mask features for defects in the patterned absorber. It is shown that an optimum pixel size is needed to maximize the defect signal-to-noise ratio (SNR) to balance the trade-off in increasing signal strength with shot noise from defect signal and the background pattern intensity (mask layout image) and speckle noise from the mask blank roughness. Moreover, we consider defocus showing that the EUV mask phase effect has an asymmetric impact on pattern defect SNR’s through-focus behavior. The impact of defocus limits inspection performance based on defect SNR. Using critical defect sizes in a case study, we show the defect SNR performance of the limiting case and discuss the possibility of utilizing a nominal defocus in the inspection system to leverage the phase effect of EUV mask absorber to improve the defect SNR. A 50% improvement in defect SNR is shown to be possible by introducing a 50  nm nominal defocus into the bright field inspection system.

1.

Introduction

As extreme ultraviolet (EUV) lithography marches toward high-volume manufacturing, the resolution limitations of deep ultraviolet inspection and the introduction of EUV pellicle make EUV actinic pattern inspection a key factor in the mask-making process flow. At-wavelength (actinic) inspection provides a better optical resolution on smaller defects for advanced technology nodes and enables through-pellicle inspection. Therefore, actinic inspection tools with high sensitivity and large throughput will be highly valuable to the EUV mask fabrication process.

Here, we study the relationship between various noise sources and the optical design. Noise sources in pattern mask inspection include speckle noise from the surface roughness, camera noise from the dark current of the charged-coupled device (CCD) camera, and photon shot noise from the background pattern and defect signal intensities. Additionally, pixel size and photon level (source power) are critical factors in determining the throughput and defect sensitivity. With a fixed number of photons per pixel, a larger pixel size might increase the throughput but lower both the defect signal strength and noise. On the other hand, with a fixed number of photons/nm2, a larger photon count per pixel achieved by increasing the pixel size might increase the pixel signal strength but also increase the photon shot noise from the defect signal and background pattern intensity.

To gain a better understanding of these tradeoffs and interplays, in this manuscript we first discuss the impact of each noise source and defocus under various pixel sizes and photon densities on defect signal-to-noise ratio (SNR). Then, we calculate the defect sensitivity for a set of critical defects and identify the limiting case, which has the smallest defect SNR. In the final section, we discuss the impact of defocus and the possibility of introducing a nominal defocus into the inspection system to operate at a higher defect SNR region to achieve a better defect capture rate.

2.

Background

2.1.

Simulation Parameters and Defect Signal-to-Noise Ratio Definitions

The simulation study presented here uses a thin mask 2-D model to generate the EUV (13.5 nm) images. Details on the determination of the 2-D mask file with all the necessary information for the defect SNR calculation is described in Sec. 2.2. For the imaging conditions, we assume a bright field imaging mode with a numerical aperture (NA) of 0.16 and a disk illumination with a sigma value of 0.3. For the detector conditions, we assume a pixel size ranging from 10 to 50 nm in mask scale, and a photon level of 1000  photons/pixel or 10 to 50  photons/nm2 depending on the pixel size. The patterns used in the simulation are dense line and isoline perpendicular to the 6-deg incident illumination angle with 64-nm halfpitch in mask scale, and also dense contact pattern with 80-nm half pitch in mask scale. Square-shaped extrusion and intrusion defects with size ranging from 6.4×6.4  nm2 to 40×40  nm2 are used. The definition of extrusion/intrusion defect and the optical properties of the absorber materials used for the EUV mask pattern are shown in Fig. 1. For speckle, we assume a root-mean-square (RMS) mask roughness of 61 pm with a correlation length of 100  nm. In the analysis, we adopt a die-to-database defect detection approach, subtracting the ideal (noise free) background pattern image. Equation (1) shows the defect SNR definition used in the following discussion.1 Photon shot noise includes the impact from both defect signal and pattern background intensity:

Eq. (1)

SNR=Defect signalSpeckle noise+camera noise+photon shot noise.

Fig. 1

(a) Side view of the schematic diagram of EUV mask pattern: absorber pattern height and illumination orientation. (b) Optical properties of the absorber materials at EUV wavelength (13.5 nm). (c) Top-down view of intrusion/extrusion defect definition: black is the absorber and white is the spacing.

JM3_16_1_013504_f001.png

2.2.

Defect Signal-to-Noise Ratio Process Flow

To include both the absorber and substrate properties into the pattern mask inspection modeling, we extract the patterned mask electric field from a 3-D model2 and mix it with the 2-D mask roughness electric field, as shown in Fig. 2. It has previously been shown that the multilayer roughness effect is adequately modeled with the single surface approximation.3 This hybrid approach allows us to include not just the mask 3-D effect of EUV mask, but also the interaction between mask roughness and pattern electric field modulation while keeping reasonable constraints on the size of the computation.

Fig. 2

Schematic diagram of the defect SNR calculation process flow.

JM3_16_1_013504_f002.png

From the densely sampled aerial image, we utilize pixel binning to mimic the results under different pixel sizes for both die and database images. Also, in our modeling of the inspection process, we assume the effective signal to be derived based on a 2×2  pixel convolution of the image. Figure 2 shows the aerial image as we change the pixel size from 10 to 30 nm. In the final step, we include system noise, such as photon noise and camera noise, to calculate the defect SNR from die-to-database images, as those shown in Fig. 2.

The goal of actinic inspection of the patterned absorber is to identify locations, where significant deviations from design in the absorber shape occur and to which repair should be applied.

2.3.

Impact of Defocus on Defect Signal-to-Noise Ratio Through-Focus Behavior

In previous studies,4,5 it was shown that the impact of the pattern phase effect due to the phase-shifting of absorber materials causes the pattern defect to exhibit a mixed (phase+absorber) behavior. The impact of this, as shown in Fig. 3, is that the peak defect signal is no longer at the best focus position as an ideal absorber defect. Moreover, the interaction between mask roughness and pattern mask also causes an asymmetric through-focus behavior for speckle noise as shown in Fig. 3, and thus an asymmetric through-focus SNR.

Fig. 3

Schematic diagram of the defect signal and the speckle noise through-focus behavior. Defect type: dense line intrusion defect with a size about 26×26  nm2 on the mask.

JM3_16_1_013504_f003.png

3.

Simulation Results

3.1.

Defect Signal Versus Speckle Noise Under Various Pixel Sizes and Defocus Positions

In the first step, we only consider the defect signal and speckle noise in a defect SNR calculation in order to understand the impact of pixel size on both terms. The example defect used here is a dense line intrusion defect with a size of 26×26  nm2. As shown in Fig. 4(a), the normalized signal strength and speckle noise drop as the pixel size increases. Moreover, Fig. 4(b) shows that signal drops faster than speckle noise as the pixel size increases causing smaller defect SNR when we use larger pixel sizes in the inspection tool.

Fig. 4

(a) Normalized defect signal and speckle noise under various pixel sizes relative to the value at 10-nm pixel size. (b) Defect SNR under various pixel sizes, only defect signal and speckle noise are taken into the defect SNR calculation. (c) Defect SNR under various pixel sizes and different defocus positions: Defocus position=100, 0, and +100  nm. Defect type: dense line intrusion defect with a size about 26×26  nm2 on the mask. The best focus position is defined as the position with minimum speckle contrast, as shown in Fig. 3.

JM3_16_1_013504_f004.png

The drastic change of defect SNR, when the pixel size is larger than 25 nm, is due to the pixel area exceeding the optical resolution. The optical resolution limit for our system is 51 nm based on the NA (0.16) and the wavelength (13.5 nm). Therefore, for the sample defect we used here, which is below the resolution limit, the FWHM of its defect intensity in the aerial image is about 50 nm. Also, in our modeling of the inspection process, we assume the effective signal to be derived based on a 2×2  pixel convolution of the raw camera image raising the effective inspection pixel size to 50 nm. When the camera pixel size is smaller than 25 nm, the defect intensity distribution is larger than the effective pixel size. Thus, increasing the pixel size also leads to increased peak signal. Once the pixel size becomes larger than 25 nm, the convolved area is larger than the defect intensity distribution and the area without the defect signal lowers the defect signal.

As shown in Fig. 4(c), the impact of the phase associated with the pattern defect causes asymmetric defect SNR through focus. The best focus position used in this paper is defined as the position that has minimum speckle contrast, as shown in Fig. 3. Defocus position=+100  nm (image closer to the lens) has a smaller defect SNR compared with the other two cases of best focus and defocus of 100  nm. The asymmetric behavior is the limiting factor on the defect SNR performance if we operate the inspection tool near the best focus position.

3.2.

Defect Signal Versus Speckle Noise and Camera Noise Under Various Pixel Sizes and Defocus Positions

For system noise, dark current in the CCD camera is the primary noise source that impacts the defect SNR. Therefore, we discuss the impact of camera noise, which is assumed to be a constant with varying pixel sizes, on the defect SNR trend under different pixel sizes in this section. As shown in Fig. 5(a), the defect SNR at various pixel sizes drops significantly and the trend is different from the previous case with the introduction of another noise source into the defect SNR calculation. The reason is that the speckle noise caused by the phase-dominated mask surface roughness at focus under our illumination and optic settings (bright field) is much smaller than the camera noise. The RMS of the camera noise is 12 (unit: Photons) while the speckle noise at focus is only 5.5 (unit: Photons). Therefore, the noise term in Eq. (1) is dominated by a constant camera noise and the overall trend of defect SNR is determined by the defect signal: smaller signal strength as pixel size increases. Figure 5(b) shows the result at various defocus positions. The results from the best focus and defocus positions=100  nm have similar defect SNR trends while the defocus position=+100  nm has a smaller defect SNR performance. The best focus position is again defined as the position that has minimum speckle contrast, as shown in Fig. 3.

Fig. 5

(a) Defect SNR under various pixel sizes. Defect signal, speckle noise and with or without camera noise are taken into the defect SNR calculation. (b) Defect SNR under various pixel sizes at different defocus positions: Defocus position=100, 0, and +100  nm. Photon levels: 1000  photons/pixel, photon shot noise is not included for defect SNR calculation. Defect type: dense line intrusion defect with a size about 26×26  nm2 on the mask. The best focus position is defined as the position with minimum speckle contrast, as shown in Fig. 3.

JM3_16_1_013504_f005.png

3.3.

Defect Signal Versus Speckle Noise, Camera Noise, and Photon Shot Noise Under Various Pixel Sizes and Defocus Positions

Next, we consider the impact of photon shot noise, originating from the defect signal and background pattern intensity. With fixed photon densities (source power), larger pixel size means more photons in a single pixel. For defect signal strength, it means that the signal strength in the number of photons is larger simply by virtue of increasing the pixel size. However, the corresponding photon shot noise (Photons) from both defect signal and pattern background intensity increases as well. The interaction between defect SNR and pixel size under fixed photon density is shown in Fig. 6(a). There exists an optimum pixel size to maximize the defect SNR under fixed photon density. This is due to the fact that the increasing defect signal strength is compensated by the increase of photon shot noise. Moreover, under fixed pixel size, the defect SNR improvement is smaller as you increase the photon density. When the pixel size is 25×25  nm2, the defect SNR improves 25% with a 2× increase of photons per pixel from 10 to 20  photons/nm2. However, the defect SNR only improves 8% with a 1.5× increase of photons per pixel from 20 to 30  photons/nm2.

Fig. 6

(a) Defect SNR under various pixel sizes and photon densities: 10, 20, and 30  photons/nm2. Defect signal, speckle noise, camera noise, and photon shot noise are taken into the defect SNR calculation. (b) Defect SNR under various pixel sizes at different defocus positions: defocus position=100, 0, and +100  nm. Photon density: 10  photons/nm2. Defect type: dense line intrusion defect with a size about 26×26  nm2 on the mask. The best focus position is defined as the position with minimum speckle contrast, as shown in Fig. 3.

JM3_16_1_013504_f006.png

Figure 6(b) shows the result at various defocus positions when we include all the noise terms in the SNR calculation as shown in Eq. (1). Under fixed photon density, there is an optimum pixel size to reach the highest defect SNR. Moreover, due to the asymmetric impact from defocus, defocus position=100  nm and the best focus position have similar defect SNR performances and defocus position=+100  nm has the smallest defect SNR.

3.4.

Critical Defect Case Study and How to Improve the Defect Signal-to-Noise Ratio for Limiting Case

Based on the results shown in the previous sections, we can calculate the defect SNR performance for defects that cause 10% CD variation on the pattern aerial image. To determine the critical defects for dense line, isoline, and dense contact patterns, we assume projection lithography tool imaging parameters as opposed to the inspection mode parameter we described in Sec. 1. The projection lithography tool imaging parameters we assume include an NA of 0.33 and dipole illumination with a sigma value between 0.2 and 0.9 with 90-deg opening angle for dense line pattern, disk illumination with a sigma value 0.5 for isoline pattern, and quasar with a sigma value between 0.2 and 0.9 with 45-deg opening angle for contact pattern. Table 1 shows the critical defect of each situation. The smallest critical defect is the extrusion defect for the isoline pattern, which is about 13×13  nm2 on the mask. Figure 7 shows the critical defects SNR results under various pixel sizes and photon densities with defocus position=+100  nm, which was the worst case studied above. As shown in Fig. 7(b), the extrusion defect SNR is in the range of 3 to 7 no matter how we vary the pixel size and photon density. This defect would be the limiting case among all critical defects since it has the smallest defect SNR and thus capture rate.

Table 1

Critical defect size for different pattern designs.

Pattern typeDefect typeSize (nm2)
Dense lineIntrusion25.6×25.6
Extrusion19.2×19.2
IsolineIntrusion19.2×19.2
Extrusion12.8×12.8
Dense contactIntrusion16×16

Fig. 7

Critical defect SNR at defocus position=+100  nm for various patterns: (a) dense line, (b) isoline, and (c) dense contact. Legends in (c) indicate the photon density level for each curve, ranging from 10 to 50  photons/nm2. The best focus position is defined as the position with minimum speckle contrast, as shown in Fig. 3.

JM3_16_1_013504_f007.png

Figure 8 shows the isoline extrusion critical defect through-focus SNR result, with pixel size and photon density of 30×30  nm2 and 50  photons/nm2 respectively. A precise defocus range control is needed to reach the desired defect SNR since the defect SNR is sensitive to the defocus position as shown in Fig. 8. Moreover, the defect signal and speckle noise both have an asymmetric through-focus behavior due to the phase effect of EUV mask. For the isoline extrusion critical defect, SNRmin=6.8 at defocus position=+100  nm. However, if we introduce a nominal defocus of 50  nm and operate the inspection tool in a defocus range: 150 to +50  nm, we can improve the SNRmin by operating the tool at a higher defect SNR region. With this new setting, SNRmin=10.3 at defocus position=+50  nm, a 50% improvement in SNR. Moreover, it is interesting to note that the new best focus position (defocus=50  nm) of the inspection tool corresponds to neither the maximum aerial image contrast nor minimum speckle contrast.

Fig. 8

Defect SNR through-focus behavior for isoline extrusion critical defect. Pixel size: 30×30  nm2. Photon density: 50  photons/nm2. Defocus range: ±500  nm. Black box: defocus range: 100 to +100  nm. Red box: 150 to +50  nm. The best focus position is defined as the position with minimum speckle contrast, as shown in Fig. 3.

JM3_16_1_013504_f008.png

4.

Conclusion

In this paper, we discuss the impact of various noise sources and the optical design on defect SNR in EUV actinic pattern mask inspection. It is found that signal drops faster than speckle noise as pixel size increases due to the resolution limit of the defect aerial image intensity distribution. We also show that even though larger pixels can lead to more photons per pixel under fixed photon density (source brightness), the defect SNR is smaller due to the increase of both defect signal and photon shot noise (Photons) from the defect signal and the background pattern intensity. The improvement of defect SNR by increasing photon density for a fixed pixel size also saturates for the same reason. The asymmetric impact of defocus reduces the defect SNRmin when operating at defocus position >0. In the critical defect case study, we show that the smallest critical defect has a possible but narrow defocus range to operate at high defect SNR (SNR10), and the introduction of a nominal defocus into the inspection system can utilize this defocus range with higher defect sensitivity. A 50% improvement on SNR is achieved by introducing a 50  nm nominal defocus into the inspection system.

Acknowledgments

The authors would like to thank Dr. Tom Pistor for his help on this paper. This research is sponsored by C-DEN (Center for Design Enable Nanofabrication). Member companies – ARM, ASML, Cadence, Carl Zeiss Group, Intel, KLA-Tencor, Mentor Graphics, and Qualcomm. This work was performed in part at Lawrence Berkeley National Laboratory, which is operated under the auspices of the director, Office of Science, of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.

References

1. 

Y. G. Wang, A. Neureuther and P. Naulleau, “Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study,” Proc. SPIE, 9776 97761D (2016). http://dx.doi.org/10.1117/12.2220277 PSISDG 0277-786X Google Scholar

2. 

Panoramic Technology, Inc., “HyperLith: core lithography simulation package,” (2017) http://www.panoramictech.com Google Scholar

3. 

P. Naulleau and S. George, “Validity of the thin mask approximation in extreme ultraviolet mask roughness simulations,” Appl. Opt., 50 (19), 3346 –3350 (2011). http://dx.doi.org/10.1364/AO.50.003346 Google Scholar

4. 

M. Burkhardt and A. Raghunathan, “Best focus shift mechanism for thick masks,” Proc. SPIE, 9422 94220X (2015). http://dx.doi.org/10.1117/12.2085948 PSISDG 0277-786X Google Scholar

5. 

Y. G. Wang, A. Neureuther and P. Naulleau, “The study of phase effects in EUV mask pattern defects,” Proc. SPIE, 9635 96350D (2015). http://dx.doi.org/10.1117/12.2197769 PSISDG 0277-786X Google Scholar

Biography

Yow-Gwo Wang is a PhD candidate in electrical engineering and computer sciences at the University of California, Berkeley, and also a graduate student researcher at the Center for X-ray Optics, Lawrence Berkeley National Laboratory. His current research project is focused on design, fabrication and testing new concept for high sensitivity EUV aerial image inspection under the guidance of Prof. Andrew Neureuther and Dr. Patrick Naulleau. He was the recipient of the SPIE BACUS Scholarship in 2015.

Andrew R. Neureuther received his PhD in electrical engineering from the University of Illinois, Urbana, in 1966. In 1966, he joined the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, as a faculty member. His work is mainly in the field of lithography, CAD algorithms, and design for manufacturing. He was elected to the National Academy of Engineering in 1995 and was the recipient of the BACUS Lifetime Achievement Award, the SPIE Zernike Award, and the SPIE Advanced Lithography Special Award for Career-Long Contribution to the Art and Science of Lithography.

Patrick P. Naulleau received his BS and MS degrees in electrical engineering from Rochester Institute of Technology, Rochester, New York, and his PhD in electrical engineering from the University of Michigan, Ann Arbor, in 1997. He joined the Berkeley Lab working in EUV lithography and metrology. In April 2010, he became the director of the Center for X-ray Optics at the Berkeley Lab. He has over 300 publications and 19 patents and is a fellow of OSA and SPIE.

© 2017 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2017/$25.00 © 2017 SPIE
Yow-Gwo Wang, Andrew R. Neureuther, and Patrick P. Naulleau "Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(1), 013504 (16 March 2017). https://doi.org/10.1117/1.JMM.16.1.013504
Received: 25 December 2016; Accepted: 28 February 2017; Published: 16 March 2017
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Signal to noise ratio

Interference (communication)

Inspection

Speckle

Extreme ultraviolet

Optical design

Cameras


CHORUS Article. This article was made freely available starting 16 March 2018

Back to Top