Open Access
8 February 2017 X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices
Author Affiliations +
Abstract
Semiconductor devices continue to shrink in size with every generation. These ever smaller structures are challenging the resolution limits of current analytical and inline metrology tools. We will discuss the results of a study of critical dimension small angle x-ray scattering (CDSAXS) comparing the measured intensity from a laboratory source and a synchrotron to determine the improvements in compact x-ray source technology necessary to make CDSAXS a high throughput metrology method. We investigated finFET test structures with and without a high-k gate dielectric coating. The HfO2-based high-k gate dielectric substantially increased the scattering intensity. We found that single-angle laboratory source measurements of 15 min from HfO2-coated finFETs had sufficient scattering intensity to measure the higher order peaks necessary for obtaining high-resolution dimensional fits. Identical bare silicon finFETs required at least 2 h of exposure time for equivalent data quality. Using these structures, we measured the scattering efficiency and determined the required photon flux for next generation x-ray sources to make an inline CDSAXS tool high throughput.

1.

Introduction

Computers and electronic devices have become a central element to our society and have enabled numerous major technological and scientific breakthroughs. The rapid advances in computing power over recent decades have been driven by the continuous shrinking of the minimum feature size of transistors in integrated circuits. Current generation microprocessors have minimum dimensions of 14 nm and pitches of about 42 nm.1 The sizes of these features are planned to shrink by 33% every 2 to 3 years resulting in minimum feature sizes of 10 nm by 2017. Even more amazing is that this reduction in feature size must be accomplished while maintaining extremely precise feature size control over wafer scale areas to ensure that all the billions of transistors in each microprocessor work as designed. To achieve such nanoscale feature uniformity, semiconductor manufacturers incorporate inline dimensional metrology into the fabrication process to maintain tight process control. The requirements for inline critical dimension (CD) metrology for next generation devices are difficult to meet. Measurements must be nondestructive, fast, able to measure a sub-100-μm diameter target in an area with surrounding features and be sensitive to sub-nm details of complex three-dimensional (3-D) nanostructures (such as finFET transistors with feature sizes under 10 nm and pitch ratios as small as 11).2 The combination of these four constraints presents a major metrology challenge. Measurements such as transmission electron microscopy provide atomic resolution of individual 3-D structures, but are destructive, slow, and provide limited statistical sampling. Inline CD metrology currently combines a variant of spectroscopic ellipsometry called optical critical dimension (OCD) scatterometry and top-down scanning electron microscopy (CD-SEM). OCD already operates well below the diffraction limit and has lost measurement sensitivity due to increasing cross correlations between the parameters of complex 3-D structures and size-dependent optical constants.26 Typical CD-SEM provides only limited 3-D information due to the top-down nature of the measurement and has a resolution of 1.4  nm.7 Physics-based models and sample tilting can be used to extract 3-D information out of CD-SEM.8,9 One option to resolve these challenges is the use of x-rays, in which the sub-nm wavelengths reduce the diffraction resolution limit to atomic distances. Critical-dimension small-angle x-ray scattering (CDSAXS) has been investigated as an x-ray-based next generation CD metrology.1017

CDSAXS is essentially a single crystal diffraction experiment in which the “crystal” is a periodic array of nanostructures and the measurement is variable-angle transmission SAXS. The most common measurement would be of a line grating in which the line is the periodic nanostructure. The resulting reciprocal space map is fit to determine the shape profile of the repeating nanostructure. CDSAXS has been used to determine complex nanostructure shape, line edge roughness, line height, CD, and pitch walking.13,1821 Recent results have demonstrated sub-nm resolution in both pitch walking and nanostructure shape.22 All of the high-resolution demonstrations of CDSAXS have been performed at large synchrotron facilities. The primary limitation for in-lab CDSAXS is x-ray source brightness. CDSAXS requires a high-flux beam that is both collimated and has a sub-100-μm diameter spot size. Previous in-lab demonstrations have required exposure time orders of magnitude larger than what is practical for process monitoring and often have insufficient signal to noise.17 In this paper, we will directly compare measurements using a compact laboratory source and using a synchrotron for the same industrially relevant finFET sample. We also will use a single-photon counting, large dynamic range x-ray detector to determine the scattering efficiency from the measured gratings.

2.

Methods

Synchrotron measurements were done at the Advanced Photon Source at beamline 5-ID-D23 using a standard SAXS configuration with a (8.5±0.01)  m sample to detector distance and a 70  μm×120  μm beam size on the sample.22 The detector was a CCD detector (Rayonix MX170-HS) with an 86-μm pixel size. (Certain commercial equipment, instruments, or materials are identified in this paper in order to specify the experimental procedure adequately. Such identification is not intended to imply recommendation or endorsement by the National Institute of Standards and Technology, nor is it intended to imply that the materials or equipment identified are necessarily the best available for the purpose.) The beam energy was 17 keV and the measured flux was 8×1010  photons/s. The flight path before and after the sample was in a vacuum chamber to minimize air scattering. The sample was in air. Measurements were made at normal incidence for a series of exposure times from 0.1 to 20 s with each exposure being measured 20 times. The resulting scattering peaks were analyzed to determine the average and standard deviation of the scattering intensity of each diffraction peak as a function of exposure time. A schematic of the measurement geometry is shown in Fig. 1(b).

Fig. 1

(a) Schematic diagram of the fabrication of the finFET samples. The measurements were made on the silicon finFET sample with a nitride cap and the subsequent step in which a conformal film of the high-k dielectric of HfO2 was deposited on the silicon fin. (b) Schematic diagram of the CDSAXS measurement geometry showing transmission scattering through a grating. The scattering angles are exaggerated for clarity.

JM3_16_1_014001_f001.png

Laboratory source measurements were conducted on a Rigaku SAXS system with 3 pin hole beam collimation (300, 300, and 500  μm) and a Rigaku 007 Micromax microfocus rotating anode source operated at 50 kV and 16 mA for a molybdenum anode.15 A parallel beam mirror was used to produce a collimated 300-μm spot on the sample. The detector was a Dectris Pilatus 300 kW with a 1-mm-thick silicon sensor (76% quantum efficiency at Mo Kα) and 172-μm pixel size. The detector is a solid state, hybrid pixel sensor in which each incident photon is counted individually in parallel, resulting in no readout noise and single photon counting ability with 106 dynamic range per pixel. The full flight path and sample were in vacuum chambers to minimize air scattering. The x-ray beam was characterized using the Pilatus detector to measure the direct beam at different sample to detector distances to determine the spot size at the sample and the beam divergence. FinFET CDSAXS measurements were made by collecting a large set of 60 s exposures (about 3900 images for a high-k sample and about 2600 for a bare Si sample). Since the Pilatus detector has no inherent background or readout noise, individual exposures can be integrated to create long virtual exposure measurements. The measured data were resampled into a series of long exposure virtual measurements by summing a series of randomly selected 60 s scans. This process was repeated 50 times for each virtual exposure time ranging from 1 to 1000 min. Individual 60 s scans with strong cosmic ray events near the scattering peaks were excluded. They were identified by comparing their summed intensity to the average summed intensity of the datasets. These cosmic ray events were considerably more intense than the typical 60 s scattering pattern and easy to identify. The scattering peaks in the 50 virtual datasets at each exposure time were analyzed to determine the average and standard deviation of the scattering intensity of each diffraction peak versus exposure time.

Quantitative scattering efficiency measurements were made by normalizing the measured integrated scattering intensity for each scattering peak to the measured beam flux and substrate attenuation. This method of intensity calculation differs from the conventional absolute intensity measurement in which the scattering is normalized to the film volume and scattering solid angle to get units of cm1str1. For the grating samples, it is more useful to determine the scattering efficiency for a particular sample by quantitatively measuring the number of photons scattered by a known number of incident photons. The large linearity of the detector allows accurate measurements of both the direct beam and the scattered intensity. The direct beam measurement was made separately from the sample scattering measurements since the direct beam results in substantial parasitic scattering from the windows on the end of the vacuum chamber and the front of the detector. A beam stop was used to block the direct beam for the scattered intensity measurement. The scattering measurement had a considerably longer exposure time than the direct beam measurement (960 min versus 1 s), enhancing the dynamic range of the measurement by rescaling the measurements by exposure time. The beam intensity was monitored over several hours to determine that fluctuations over time were negligible. The direct beam intensity was estimated from the average of over 100 individual 1 s exposures.

FinFET samples were fabricated at the Center for Nanoscale Science and Engineering in Albany, New York, using a double exposure method and litho-freeze-litho-etch [Fig. 1(a)].10 The lithography pitch was 130 nm. The double exposure resulted in a 65-nm pitch grating. The samples were a uniform grating with field sizes of about 2 cm. The bare silicon finFET sample consists of a silicon fin with a silicon nitride hard mask cap on top of 40-nm height silicon fins, which have 20-nm bottom width and slightly sloped profile. The Si fins were etched into silicon-on-insulator (SOI) wafers with 40-nm single crystal 100 Si layer on 140-nm SiO2 on bulk Si, using standard 300-mm wafer processing. The high-k finFET sample is an identical silicon fin with a silicon nitride hard mask cap with the addition of a 2-nm conformal high-k dielectric (HfO2). Note that in typical finFET fabrication, the commonly used “gate last” or replacement metal gate (RMG) process deposits the high-k dielectric later in the process flow so there is not a structure that corresponds to the high-k finFET gratings measured in this study. Identical spots were measured at both the synchrotron and laboratory sources.

3.

Results and Discussion

The CDSAXS measurement involves placing a periodic nanostructure into a collimated x-ray beam and measuring the resulting transmission scattering as a function of incident angle. For the example of a line grating, the grating axis is aligned parallel to the axis of rotation. The key parameters are the beam divergence, the spot size at the sample, and the x-ray flux. The beam divergence defines the angular resolution of the measurement. Since CDSAXS is a “small angle” measurement, the beam divergence must be small enough to resolve the individual scattering peaks. The scattering angle is defined by Bragg’s law [Eq. (1)]. The scattering angle (2θ) is proportional to the ratio of the x-ray wavelength (λ) and the grating pitch (d). The x-ray wavelength has to be smaller than about 0.7 Å to maximize transmission through the silicon wafer substrate. In future technology generations with smaller feature sizes and pitches, the scattering angle will get larger and constraints on the divergence will be reduced. It should be noted that the pitch measured by CDSAXS is the largest repeat distance in the structure. For example, samples with pitch walking will have a measured pitch of the native lithography and thus require greater angular resolution to resolve the scattering peaks. For the Mo Kα energy and a 32-nm pitch grating, the scattering angle is 2.2 mrad. For the 65-nm gratings investigated in this study, the scattering angle is 1.1 mrad. It should be noted that errors in multiple patterning methods make the effective pitch larger, and thus the scattering angle smaller. The exact limits on allowable divergence depend on the measurement geometry and involve trade-offs with the spot size. In this paper, we will use a focusing mirror optic geometry, where the beam focus is on the sample. This geometry is the best for sources with a large initial source size and results in the smallest beam spot being on the sample. The beam transitions from converging to diverging at the sample. The angular divergence of the optical path needs to be sufficiently less than the scattering angles of the grating to resolve neighboring peaks

Eq. (1)

λ=2dsinθ.

To characterize our laboratory source, we measured the unfiltered, direct beam with a single photon counting detector at a series of sample to detector distances. The results are shown in Fig. 2, where Fig. 2(a) shows the image of the direct beam on the detector and Fig. 2(b) shows the integrated intensity for the direct beam. A fit of the change in beam size as a function of distance [Fig. 2(c)] shows a divergence of about 190  μrad and a spot size of about 300-μm full-width half-maximum (FWHM) at the sample. The open beam intensity was measured to be 2.2×106  photons/s and the transmission through the silicon wafer was 27%. The measured flux is 40,000 times less than the APS synchrotron beam line. Figure 3 shows a normal incidence diffraction pattern for the high-k finFET sample at both APS and on the laboratory source. The APS exposure [Fig. 3(a)] was for 10 s and the laboratory source exposure [Fig. 3(b)] was for 3600 s. The measurement at APS could have been made for considerably shorter exposure time and still had acceptable signal to noise. The 3600-s laboratory measurement clearly resolves all of the diffraction peaks. The only difference is that the peaks are broader. This can be seen more easily in Fig. 3(c), where a one-dimensional (1-D) integration of the scattering intensity is shown. Each of the peaks is clearly resolved and has comparable changes in integrated intensity to the APS data. Note that the scattering patterns of highly uniform nanomanufactured gratings are much sharper than the resolution of most x-ray sources. In both of these measurements, the peak width is dominated by instrumental broadening and no information is lost by using the lower resolution of the laboratory source. Only the integrated intensity is used in CDSAXS data fitting. The resolution of the laboratory source could be further reduced and there would still be sufficient differentiation of the adjacent scattering peaks. Note also that the pitch of this grating is 65 nm. Smaller pitch gratings will have a larger scattering angle and be easier to resolve.

Fig. 2

(a) Plot of direct beam image with inset being a zoom-in of the direct beam spot. (b) 1-D integration across the direct beam for both the open beam and sample attenuated beam. (c) Plot of the measured beam FWHM as a function of the sample detector distance (SDD).

JM3_16_1_014001_f002.png

Fig. 3

(a) Two-dimensional (2-D) detector image of high-k finFET scattering image taken at APS in a 10-s exposure. (b) 2-D detector image of high-k finFET scattering image taken on laboratory source in a 3600-s exposure. (c) 1-D integrated comparison plot of the first six scattering peaks in the data from APS and the laboratory source.

JM3_16_1_014001_f003.png

To determine the required flux for a measurement from a given source, we conducted a scattering noise experiment in which we evaluated the statistical fluctuations in the scattering signal as a function of exposure time and scattering peak order. For APS synchrotron data, we took 20 normal incidence exposures at a series of exposure times from 0.1 to 20 s. For the laboratory source data, it was impractical to take a large series of repeated scans at appropriately long exposure times. Instead, we took advantage of the zero noise x-ray detector to create a library of short scans that we could randomly resample into virtual datasets of various exposure times. We collected about 3900 one-minute scans for the high-k sample and 2600 one-minute scans for the bare Si finFET sample. We then randomly selected one-minute scans and summed them to create each dataset of longer exposure time as described in the methods section. Figure 4(a) shows a typical one-minute scan with the integrated area shown in Fig. 4(b). Figure 5(a) shows an example of a series of 50 of the virtual 15-min scans and a 1-D plot of the average and standard deviation of the intensity values across the detector region of interest. This figure shows that at 15-min exposure times, there are noticeable statistical fluctuations in the peak intensities. Figure 5(b) shows the average and standard deviation of the integrated intensity of all of the diffraction peaks at each exposure time. The intensities scale linearly with time as expected. The standard deviations are highest for the weakest peaks and shortest exposure times, as expected.

Fig. 4

(a) Typical 1-min exposure of the high-k finFET sample on the laboratory source. Inset is zoomed-in to the area of primary scattering. (b) 1-D integration across the primary scattering peaks showing the single photon counts.

JM3_16_1_014001_f004.png

Fig. 5

(a) 2-D image (bottom) shows an example dataset from the high-k finFET sample of 50 exposures of 15 min constructed by summing 15 randomly selected 1-min scans from the dataset in Fig. 4(c). The 1-D data plot (top) shows the average intensity of the 50 datasets (dark line) along with the 1σ variation in intensity within the dataset (light lines). (b) Plot of the average integrated intensity for each peak order at each exposure time. The error bars denote the 1σ variation in integrated intensity for at each exposure time for the 50 datasets.

JM3_16_1_014001_f005.png

Most of the standard deviation error bars in Fig. 5(b) are too small to observe in the plot. Additionally, the primary concern for the CDSAXS measurement is the size of the standard deviation relative to the particular peak intensity. Figure 6 shows the standard deviations as a percent of the total integrated peak intensity (SDP). Figures 6(c) and 6(d) show the SDP for the bare Si finFET and high-k finFET, respectively, as measured on the laboratory source. Figures 6(e) and 6(f) show the same samples as measured at the APS synchrotron. The most obvious feature of the SDP plots is that the noise for the bare Si finFET sample is about 5 times larger than the noise for the high-k finFET sample for the same measurement time. The SDP noise scales with scattering intensity, so the weaker scattering bare Si finFET sample is expected to have a lower signal to noise for the same incident beam flux. When looking at these plots, it is important to note that the peak intensities are nonmonotonic [Figs. 6(a) and 6(b)] and often times there is more shape information in a stronger, higher order peak than a weak lower order peak. In scattering, since the diffraction pattern is in reciprocal space, the higher order peaks contain information about the smallest scale features. Additionally, the symmetry of the structure often times will cause missing peaks. It is not straightforward to set a cut-off SDP level for the minimum exposure time required to get a satisfactory uncertainty in the data fit. The data modeling is a highly nonlinear, inverse fitting process, and the sensitivity of the uncertainty to noise will be structure dependent.22 Determining the minimum exposure time requires a detailed analysis of the multiple incident angle data as a function of exposure time. We have previously reported such results on a self-aligned quadruple patterning (SAQP) sample and found that the fit quality did not start to degrade until the SDP for the strongest high-order peaks reached about 20%.17 It should be noted that the definition of acceptable uncertainty is highly sample and application specific and a single value cannot be defined.

Fig. 6

1-D integration plot of the scattering from the (a) bare Si finFET sample and (b) high-k finFET sample at the synchrotron. Plot of the SDP of the (c) bare Si finFET sample and (d) high-k finFET sample as measured on the APS synchrotron. Plot of the standard deviation of the dataset as a percent of the total integrated peak intensity (SDP) for (e) bare Si finFET sample and (f) high-k finFET sample as measured on the laboratory source (Mo Kα). Note that the noise is much higher in the bare Si finFET samples.

JM3_16_1_014001_f006.png

The other point of interest is the large shift in time scales between the synchrotron and laboratory source data. Figure 7 shows a comparison of SDPs for several peak orders measured at both the synchrotron and the laboratory source. Shifting of the data shows approximately a factor of 10,000 times difference in the noise versus exposure time. This is less than the flux factor difference of 40,000 determined from beam intensity differences and confirmed in Fig. 7(b) plot of the measured integrated intensity for several scattering peaks as a function of exposure time. The difference is due to different limiting noise factors in the two datasets. The laboratory data noise for the weakest peaks is determined by a combination of statistical fluctuations (Poisson noise or counting statistics) of the scattering peak intensity and of statistical fluctuations of weaker cosmic ray events that coincide with the active area of the detector where the peak scattering is measured. Over longer time scales, the cosmic rays are numerous enough to average out to a flat background that can be subtracted from the data. An additional note is that the laboratory data were measured by a detector with no readout or background noise. Figure 7(c) shows a comparison of the laboratory SDP to the expected value for Poisson noise for the scattering intensity. It matches well for the stronger peaks with low noise and underestimates the SDP for the weaker peaks. This is what would be expected for the noise from the cosmic background that is uncorrelated to scattering strength. The synchrotron exposure times are much faster, so cosmic ray events are negligible. The primary reason for the larger relative noise in the synchrotron data is readout noise from the CCD detector. The readout noise is independent of exposure time and measured intensity, so the effect is strongest for low intensities and becomes more prominent at shorter exposure times when the total intensity is lower.

Fig. 7

(a) Combined plot showing the SDP for the fourth, fifth, and sixth orders of the high-k finFET sample for both the APS synchrotron and Mo Kα laboratory source. (b) Plot of the integrated scattering intensity for the fifth-order peak of the high-k finFET sample comparing the synchrotron and laboratory source. Error bars denote the standard deviation of a repeated set of measurements (20 at APS, 50 in lab). Note that the spot sizes are different in the two measurements. (c) Plot of the SDP (markers) versus the calculated SDP (lines) for Poisson-dominated noise (N0.5) using the measured scattering intensities.

JM3_16_1_014001_f007.png

The scattering amplitude of a given sample will depend on the periodic change in electron density, the volume of the periodic features, and the duty cycle of the pattern (e.g., a 50 to 50 grating will scatter strongest). Thus, films composed of dense metals or high atomic number elements and air will have the greatest electron density contrast between phases and the strongest scattering. Although the high-k finFET sample does not correspond to a structure found in the typical RMG finFET fabrication process, it does provide an example of the scattering strength obtained from structures containing high electron density materials such as metallization (e.g., copper, tungsten), diffusion barriers (e.g., tantalum, ruthenium), or dielectrics (e.g., hafnium). Films for which the trenches between lines are filled with a material having similar electron density to the lines will scatter weakly due to the small contrast between the two phases. Similarly low-density films like carbon-based photoresist will also scatter weakly. Tall, high aspect ratio fins will scatter stronger than short lines because of the larger sample volume. Note that only the height of the structure is relevant for the scattering volume because a decrease in width with a constant duty cycle increases the total number of lines in the target area (maintaining the same scattering volume). Changing the width at a constant pitch is more complicated because due to the Babinet principle there is no distinction between phase A and phase B (air and line) and the scattering volume is the smaller of the two phases. The measured signal is the scattering intensity, which is the square of the amplitude. Note that the measured finFETs were only 40 nm tall and are much shorter than typical next generation fins before deposition of the shallow trench isolation (STI). A finFET on order of 200 nm tall with the same width and pitch will scatter 25× stronger (or equivalently 25× faster) than the measured finFETs. The wide range of samples that will potentially need to be measured results in a large range of source intensity requirements. In order to make accurate estimates for the required source flux for high throughput measurements, we measured the scattering efficiency by using the high dynamic range, single photon counting detector to measure both the direct beam intensity and the scattered intensity. The direct beam measurement was made by averaging a series of short exposures to avoid saturation of the detector. We characterized the fluctuation in direct beam intensity over time and found it to be negligible (described in Sec. 2). The integrated intensity for each scattering peak was normalized to the beam intensity and corrected for substrate absorption. The integrated intensity was used to avoid effects of the pixel size on the measurement. The scatter efficiency measurement (Fig. 8) shows the expected enhancement of the scattering intensity of the Hf-containing high-k sample relative to the bare Si sample. The scattering efficiency can then be used to estimate how much flux is required for a given measurement time. Table 1 shows some estimates of the required flux for various sample materials based on the measured scattering efficiencies and the single-angle measurement time. The calculation is based on the amount of flux required to get 100 counts on one of the stronger, higher order peaks. The selection of 100 counts is arbitrary and would correspond to a Poisson noise of 10% of the peak intensity. The relative contribution of the higher order peaks to the shape fit depends on the intensity weighting of the goodness of fit function and has been explored previously.24 For the high-k sample, the ninth-order peak was used and for the bare Si sample the eighth-order peak was used. The measured finFETs were 40 nm tall and as discussed previously, the taller finFETs will scatter much stronger. Note that for most CDSAXS measurements, multiple scans at different incident angles will be required. Published results are highly oversampled with regards to incident angles. The specific number of incident angles required will be structure dependent and also depend on the required shape accuracy. For a known SAQP structure, 5 to 10 incident angles were determined to be sufficient to resolve changes in dimensions.17 In addition to the flux, the x-ray beam must have small enough divergence and energy bandwidth to resolve the scattering peaks. For CDSAXS to become a high throughput measurement, new compact x-ray sources on order of 100× to 1000× times brighter than current microfocus rotating anodes (as used in this study) will be required. There are several sources at various stages of research and development that could provide such improvements in the next few years.2529

Fig. 8

Scattering efficiency for the high-k and bare silicon finFET samples determined from measurements on the laboratory source. The integrated intensity for each peak was normalized to the incident beam intensity. The beam intensity was corrected for the beam attenuation by the silicon wafer.

JM3_16_1_014001_f008.png

Table 1

Calculated nominal source flux required for a single-angle exposure time for each of the grating materials. Calculation based on 100 counts for the eighth- or ninth-order peak for the bare Si or high-k sample, respectively. Numbers assume 50% attenuation of the incident beam from the substrate. For reference: synchrotron flux=8×1010  photons/s, lab source flux=2.2×106  photons/s. Note that the exact values depend strongly on the details of the particular structure (scattering volume, electron density, etc.).

Exposure time (s)High-k-coated fin (ph/s)Si fin (ph/s)Resist (ph/s)
110910101012
101081091011
1001071081010

4.

Conclusions

We compared CDSAXS measurements performed at the synchrotron to those from a conventional laboratory source on industrially relevant finFET sample structures. We found that the synchrotron beam line has about 40,000× more photon intensity than our Mo Kα microfocus rotating anode laboratory source. We have characterized our laboratory source in great detail and used those results to determine the scattering efficiency of the measured finFET structures. We demonstrated a large variation in scattering efficiency as a function of the materials present in the periodic nanostructure. In particular, dense high atomic number films such as HfO2 and taller, high aspect ratio structures substantially enhance the scattering signal. These measurements allowed us to extrapolate the required flux improvements for high-throughput CDSAXS measurements of these samples. There are several new compact source technologies in development that have the potential to provide the required 100× to 1000× improvements, making in-fab CDSAXS likely viable in the near future.

Acknowledgments

Portions of this work were performed at the DuPont-Northwestern-Dow Collaborative Access Team (DND-CAT) located at Sector 5 of the Advanced Photon Source (APS). DND-CAT is supported by E.I. DuPont de Nemours & Co., The Dow Chemical Company and Northwestern University. Use of the APS, an Office of Science User Facility operated for the U.S. Department of Energy (DOE) Office of Science by Argonne National Laboratory, was supported by the U.S. DOE under Contract No. DE-AC02-06CH11357. We thank Steven Weigand and Denis Keane for assistance at sector 5-ID-D.

References

1. 

International Technology Roadmap for Semiconductors, San Jose, Semiconductor Industry Association (2014). Google Scholar

2. 

B. Bunday et al., “Analysis for CD metrology beyond the 22nm node,” Proc. SPIE, 8681 86813B (2013). http://dx.doi.org/10.1117/12.2012472 PSISDG 0277-786X Google Scholar

3. 

A. Vaid et al., “A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM,” Proc. SPIE, 7971 797103 (2011). http://dx.doi.org/10.1117/12.881632 Google Scholar

4. 

R. Silver et al., “Fundamental limits of optical critical dimension metrology: a simulation study,” Proc. SPIE, 6518 65180U (2007). http://dx.doi.org/10.1117/12.716604 Google Scholar

5. 

R. M. Silver et al., “Phase sensitive parametric optical metrology: exploring the limits of three-dimensional optical metrology,” Proc. SPIE, 8324 83240N (2012). http://dx.doi.org/10.1117/12.916988 PSISDG 0277-786X Google Scholar

6. 

B. M. Barnes et al., “Enhancing 9 Nm node dense patterned defect optical inspection using polarization, angle, and focus,” Proc. SPIE, 8681 86810E (2013). http://dx.doi.org/10.1117/12.2012250 PSISDG 0277-786X Google Scholar

7. 

A. E. Vladár et al., “Can we get 3D-CD metrology right?,” Proc. SPIE, 8324 832402 (2012). http://dx.doi.org/10.1117/12.916537 PSISDG 0277-786X Google Scholar

8. 

J. S. Villarrubia et al., “Scanning electron microscope measurement of width and shape of 10nm patterned lines using a JMONSEL-modeled library,” Ultramicroscopy, 154 15 –28 (2015). http://dx.doi.org/10.1016/j.ultramic.2015.01.004 ULTRD6ULTRD6 0304-3991 Google Scholar

9. 

X. Zhang et al., “Solving next generation (1x node) metrology challenges using advanced CDSEM capabilities: tilt, high energy and backscatter imaging,” Proc. SPIE, 9424 94240G (2015). http://dx.doi.org/10.1117/12.2087267 PSISDG 0277-786X Google Scholar

10. 

C. Settens et al., “Critical dimension small angle x-ray scattering measurements of FinFET and 3D memory structures,” Proc. SPIE, 8681 86810L (2013). http://dx.doi.org/10.1117/12.2012019 PSISDG 0277-786X Google Scholar

11. 

C. Wang et al., “Characterization of correlated line edge roughness of nanoscale line gratings using small angle x-ray scattering,” J. Appl. Phys., 102 24901 (2007). http://dx.doi.org/10.1063/1.2753588 JAPIAUJAPIAU 0021-8979 Google Scholar

12. 

C. Wang et al., “Nonplanar high-K dielectric thickness measurements using CD-SAXS,” Proc. SPIE, 7272 72722M (2009). http://dx.doi.org/10.1117/12.813757 PSISDG 0277-786X Google Scholar

13. 

T. Hu et al., “Small angle x-ray scattering metrology for sidewall angle and cross section of nanometer scale line gratings,” J. Appl. Phys., 96 1983 –1987 (2004). http://dx.doi.org/10.1063/1.1773376 JAPIAUJAPIAU 0021-8979 Google Scholar

14. 

C. Wang et al., “Small angle x-ray scattering measurements of lithographic patterns with sidewall roughness from vertical standing waves,” Appl. Phys. Lett., 90 193122 (2007). http://dx.doi.org/10.1063/1.2737399 APPLABAPPLAB 0003-6951 Google Scholar

15. 

D. L. Ho et al., “A laboratory scale critical-dimension small-angle x-ray scattering instrument,” Am. Inst. Phys. J., 931 382 –386 (2007). http://dx.doi.org/10.1063/1.2799402 Google Scholar

16. 

P. Lemaillet et al., “Intercomparison between optical and x-ray scatterometry measurements of FinFET structures,” Proc. SPIE, 8681 86810Q (2013). http://dx.doi.org/10.1117/12.2011144 PSISDG 0277-786X Google Scholar

17. 

D. F. Sunday et al., “Evaluation of the effect of data quality on the profile uncertainty of critical dimension small angle x-ray scattering,” J. Micro. Nanolithogr. MEMS MOEMS, 15 014001 (2016). http://dx.doi.org/10.1117/1.JMM.15.1.014001 Google Scholar

18. 

D. F. Sunday et al., “Template-polymer commensurability and directed self-assembly block copolymer lithography,” J. Polym. Sci. Part B Polym. Phys., 53 595 –603 (2015). http://dx.doi.org/10.1002/polb.v53.8 Google Scholar

19. 

R. L. Jones et al., “Small angle x-ray scattering for sub-100 Nm pattern characterization,” Appl. Phys. Lett., 83 4059 –4061 (2003). http://dx.doi.org/10.1063/1.1622793 APPLABAPPLAB 0003-6951 Google Scholar

20. 

R. L. Jones et al., “Real-time shape evolution of nanoimprinted polymer structures during thermal annealing,” Nano Lett., 6 1723 –1728 (2006). http://dx.doi.org/10.1021/nl061086i NALEFDNALEFD 1530-6984 Google Scholar

21. 

D. F. Sunday et al., “Determination of the internal morphology of nanostructures patterned by directed self assembly,” ACS Nano, 8 8426 –8437 (2014). http://dx.doi.org/10.1021/nn5029289 ANCAC3ANCAC3 1936-0851 Google Scholar

22. 

D. F. Sunday et al., “Determining the shape and periodicity of nanostructures using small-angle x-ray scattering,” J. Appl. Crystallogr., 48 1355 –1363 (2015). http://dx.doi.org/10.1107/S1600576715013369 JACGARJACGAR 0021-8898 Google Scholar

23. 

S. J. Weigand and D. T. Keane, “DND-CAT’s new triple area detector system for simultaneous data collection at multiple length scales,” Nucl. Instrum. Methods Phys. Res. Sect. Accel. Spectrometers Detect. Assoc. Equip., 649 61 –63 (2011). http://dx.doi.org/10.1016/j.nima.2010.12.045 Google Scholar

24. 

A. F. Hannon et al., “Advancing x-ray scattering metrology using inverse genetic algorithms,” J. Micro. Nanolithogr. MEMS MOEMS, 15 034001 (2016). http://dx.doi.org/10.1117/1.JMM.15.3.034001 Google Scholar

25. 

O. Hemberg, M. Otendal and H. M. Hertz, “Liquid-metal-jet anode electron-impact x-ray source,” Appl. Phys. Lett., 83 1483 –1485 (2003). http://dx.doi.org/10.1063/1.1602157 APPLABAPPLAB 0003-6951 Google Scholar

26. 

M. Bech et al., “Hard x-ray phase-contrast imaging with the compact light source based on inverse compton x-rays,” J. Synchrotron. Radiat., 16 43 –47 (2009). http://dx.doi.org/10.1107/S090904950803464X JSYRESJSYRES 0909-0495 Google Scholar

27. 

W. S. Graves et al., “MIT inverse compton source concept,” Nucl. Instrum. Methods Phys. Res. Sect. Accel. Spectrometers Detect. Assoc. Equip., 608 S103 –S105 (2009). http://dx.doi.org/10.1016/j.nima.2009.05.042 Google Scholar

28. 

J. M. J. Madey et al., “Optimized cavity-enhanced x-ray sources for x-ray microscopy,” Proc. SPIE, 8851 88510W (2013). http://dx.doi.org/10.1117/12.2027193 PSISDG 0277-786X Google Scholar

29. 

W. Yun et al., “Novel, high brightness x-ray source and high efficiency x-ray optic for development of x-ray instrumentation,” Microsc. Microanal., 22 118 –119 (2016). http://dx.doi.org/10.1017/S1431927616001446 MIMIF7 1431-9276 Google Scholar

Biography

R. Joseph Kline received his BS and MS degrees in material science from North Carolina State University and his PhD in materials science from Stanford University. He is the leader of the dimensional metrology for nanomanufacturing project at NIST. He researches x-ray-based dimensional metrology of nanostructures as well as x-ray structure measurements of soft matter systems. He has published more than 80 articles and 4 book chapters, and has given more than 40 invited presentations. In 2012, he received the Presidential Early Career Award for Science and Engineering.

Daniel F. Sunday received his BS degree in chemical engineering from Carnegie Mellon and his PhD in chemical engineering from the University of Virginia. He is a research scientist at NIST, where he researches x-ray characterization methods of nanostructures and thin films as well as the self-assembly of block copolymers.

Donald Windover received his BS degree in physics from the University of Maine and his PhD in physics from Rensselaer Polytechnic Institute. He is a physicist at NIST, where he researches the fundamental metrology limits of x-ray characterization methods when applied to complex systems. He has worked in x-ray standards development, instrumentation design, x-ray reflectometry, and wavelength and angle metrology.

Benjamin D. Bunday received his MS/ABD degree in materials science from Cornell University, Ithaca, New York, USA, in 1995. He is a senior member technical staff at GlobalFoundries (SUNY Poly SEMATECH assignee, Malta, New York, USA). For 15 years, he led SEMATECH’s benchmarking, evaluation, and strategic metrology technology development/roadmapping efforts. He has 20 years of semiconductor processing industry experience in metrology. He is a member of the ITRS, the programming committee of SPIE’s Metrology Conference, and author/coauthor of over 120 papers.

© 2017 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2016/$25.00 © 2016 SPIE
R. Joseph Kline, Daniel F. Sunday, Donald Windover, and Benjamin D. Bunday "X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(1), 014001 (8 February 2017). https://doi.org/10.1117/1.JMM.16.1.014001
Received: 30 September 2016; Accepted: 17 January 2017; Published: 8 February 2017
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications and 23 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scattering

Laser scattering

Silicon

X-rays

Sensors

X-ray sources

Synchrotrons


CHORUS Article. This article was made freely available starting 08 February 2018

RELATED CONTENT

X-ray analysis of the EMCCD point-source response
Proceedings of SPIE (December 13 2020)
Time-resolved techniques: an overview
Proceedings of SPIE (January 01 1991)
Neutron Guinier camera
Proceedings of SPIE (November 18 2002)
Finite element Compton tomography
Proceedings of SPIE (September 24 2007)
X-ray scattering applications using pulsed x-ray sources
Proceedings of SPIE (December 01 1998)

Back to Top