Open Access
3 May 2019 Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement
Author Affiliations +
Abstract
Projection lithography using extreme ultraviolet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7-nm design rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10  −  10) is indispensable. We discuss a method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as the product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the latter as a function of edge position. The defect probabilities in the order between 10  −  7 and 10  −  5 were predicted from 105 measurement data for real EUV-exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.

1.

Introduction

Projection lithography using extreme ultraviolet (EUV) light at the 13.5-nm wavelength is expected to achieve production of integrated circuits (ICs) below 7-nm design rules.1 In pursuit of further miniaturization of semiconductor integrated circuit devices by EUV lithography, stochastic pattern defect problems have arisen.24 Stochastic pattern defects are fatal patterning failures such as bridging between neighboring pattern features or breakages of features, and its probability is extremely low (down to 1012 or even below). Because cutting-edge integrated circuit devices today have more than 1012 critical features per a device layer on a 300-mm wafer, such a defect probability will result in an unacceptable level of defect density.

While suppressing the stochastic defect itself is indispensable for EUV lithography, monitoring and control of these defects is another crucial issue.47 When applying EUV lithography to IC manufacturing, design rules and nominal mask/process conditions should be set so that the stochastic defect probability is within a tolerable range (e.g., 1012). Since stochastic defect probability is very sensitive to resist feature size or the mask and process conditions, however, small deviations from the nominal condition can cause catastrophic wafer failure3 (e.g., a change in exposure dose of a few percent can in some cases change the defect probability by an order of magnitude). Detecting changes in stochastic defect probability in this extremely low range will be necessary but is a challenge. For directly inspecting a huge number (e.g., 1012) features to detect below 10-nm size defects, present electron-beam-based inspection tools require unacceptably long inspection time,5 whereas the resolution capability is marginal for optical inspection tools.6 In contrast, it has been reported that conventional indices, such as critical dimension (CD) and line edge roughness (LER), have correlations with defect probabilities though they are empirical without theoretical ground.7 Here, we propose an approach to predict an extremely low probability of stochastic defect from local CD uniformity (LCDU) data or CD histogram for a limited number of pattern features, typically several orders of magnitude lower than a number of features to be inspected. We previously introduced the probabilistic model for stochastic defects generation based on two mechanisms, cascading shot noises and long-range scattered photoelectrons.8,9 In this paper, we apply this model to predict an extremely low probability of stochastic defect generation on real wafers.

2.

Probabilistic Model of Pattern Defects

Before discussing the defect prediction, here, we briefly review our model.8,9 We start from generating numbers of physical/chemical events in a resist film, such as photon absorption, secondary electron generation, chemical reaction, and solubility flipping of resist polymer/molecule using coupled Monte-Carlo simulation, which combines simulations for optical imaging, photoelectron scattering, and chemical amplification with acid diffusion [Fig. 1(a)]. We divide the resist film by three-dimensional grids and count the number of reactions in each voxel produced by the grids. We assume that the solubility of a particular voxel flips if the number of reactions in that voxel exceeds a certain threshold, and further, count the number, nSF, of solubility-flipped voxels through thickness, which represents the degree of solubility change in a particular spot of resist film. From the histogram of this number nSF under the same exposure dose, we obtain the probability density functions (PDFs) pdfSF (r,nSF) for nSF at location r. Here, we focus on bridge-type defects in negative-tone resist processes. We define a local spot pattern and a local spot defect so that they are generated when the number nSF of solubility-flipped polymer/molecule through the film thickness exceeds a certain threshold NcSF_X (X = main pattern or film defect). Thus, the probabilities of local spot pattern/defect P1X per unit area (e.g., 1  nm2) are expressed as

Eq. (1)

P1X(ri,NcSF_X)=NcSF_XpdfSF(ri,nSF)dnSF,
where pdfSF is the PDF for the number of solubility-flipped polymer/molecule through the film thickness. Main patterns are formed if the spot patterns cover over designated areas, whereas pattern defects are generated if the spot defects cover over critical areas of circuit features, such as residual film between main features. Assuming one-dimensional pattern for simplicity, the stochastic pattern defect probability (for mechanism A in Ref. 8) is obtained as the probability that the spot film defects cover the area between the main pattern edge at xedge and the point xd representing defect area as

Eq. (2)

PdefectA(xd)=Pedge(xedge)·P2defect(xd|xedge)dxedge,
where

Eq. (3)

P2defect(xd|xedge)=xedge<x<xdP1defect(x,NcSF_defect),
where Pedge(xedge) is the probability that the main pattern edge locates at xedge and P2defect(xd|xedge) is the probability that the spot film defects cover the area between xedge and xd. Figure 1(b) illustrates how we obtain PdefectA(xd) from Pedge(xedge) and P2defect(xd|xedge). A periodic structure with 32-nm pitch is assumed with the center of exposed and unexposed area located at x=0 and 16 nm, respectively, and the mask edge at x=8  nm.

Fig. 1

Model of stochastic pattern defect. (a) Simulated distributions of photon absorptions (red spheres), SE generations (blue spheres), and acid-catalytic reactions (green spheres) for EUV-exposed chemically amplified resist. (b) Schematic procedure of defect probability calculation in Eq. (2). (c) Simulated relationships between defect probability and delineated pattern size for various exposure/material conditions. See Ref. 8 for details.

JM3_18_2_024002_f001.png

Equation (2) shows that the probability of defect generation between xd and xedge depends on the horizontal location of edge xedge. Although the actual edge location also varies in the depth direction along resist sidewall, the variations of edge location in the vertical direction are usually smaller than that in the horizontal direction (so-called LER), and we ignore the former in the present model. The above explanation assumed the defect generation mechanism A in Ref. 8 for simplicity, but the form of Eq. (2) holds also for mechanism B in the same reference. Optimization of exposure and material parameters to minimize defect probability showed clear trade-off relationship between defect probabilities and delineated pattern feature sizes as shown in Fig. 1(c), which is qualitatively consistent with experimental observations in Ref. 3. The exponential relationships between defect probabilities and exposure dosage required for obtaining designed size observed among varieties of resist materials4 are also explained by the model.9

3.

Method of Defect Probability Estimation

Here, we apply the above-mentioned model for predicting defect probability on real wafers. In our method, the stochastic defect probability is expressed by the product sum of two probabilities Pedge(xedge) and P2(x|xedge) in Eq. (2). Our basic approach is to predict defect probability by evaluating Pedge and P2 in Eq. (2), not by directly inspecting full-pattern features. Evaluating probability in the order of P requires more than 1/P samples in general. Since both Pedge and P2 are larger than Pdefect by orders of magnitude, we expect the same order of measurement time reduction. Here, Pedge is a histogram of local edge position and directly measurable using SEM, and thus, we focus on how we evaluate P2.

Let us suppose that defect probability increases due to some process variations, and we need to detect this change. According to the above model, these variations change the defect probability through Pedge and P2 in the following three pathways. First, process variations change the locations xedge of pattern edges and their distribution Pedge. Second, the change in xedge changes the value of P2 because P2 is a function of xedge. Third, process variations change the function P2 itself because P2 is determined from chemical reaction density as explained from Eqs. (1) and (3).

We examined the changes in Pedge and P2 along each pathway using our above-described defect probability model. Figure 2 shows the profiles of Pedge (xedge), P2 (xcenter|xedge), and Pdefect (x) for two exposure conditions, nominal and 20% overirradiation. Here, we assumed one of the exposure/material parameter sets optimized so as to minimize defect probability for 16-nm lines and spaces with 0.33 NA optics. Please see Ref. 8 for details. A 20% increase in irradiation dosage shifts the mean CD by 20% (corresponding to a 1.5-nm shift in edge position) with changing the histogram profiles [Fig. 2(a)]. While it also changes the profile of P2, this is small compared to its exponential dependence on xedge [Fig. 2(b)]. In contrast, a 20% increase in dose changes Pdefect by 2 orders of magnitude at the same location x [Fig. 2(c)]. This is because the linear change in xedge is magnified by the exponential dependence of P2 on xedge. Consequently, defect probability is exponentially dependent on the above amount of exposure dose variations through the first and second pathways. If we assume the shape of function P2 (dependences on xedge and x) unchanged within the above ranges of exposure variations as an approximation, we can calculate the value of P2 from measured xedge, and further Pdefect as a product sum of Pedge and P2. Note, however, that P2 is a function of imaging and resist materials/processes conditions in general, and the above assumption needs to be examined when these conditions are changed.

Fig. 2

Calculated probabilities: (a) Pedge that pattern edge locates at xedge, (b) P2 that films defect generates between xedge and clear space center (x=16  nm), and (c) Pdefect that pattern defect exists at the clear space center.

JM3_18_2_024002_f002.png

Practically, two approaches can be taken for determining P2. In the first analytical approach, we directly calculate P2 using the probabilistic defect model as explained in Fig. 2. This requires model calibration as in every conventional lithography simulations. The other is an empirical approach, where we determine P2 so as to satisfy Eq. (1) with observed Pedge and Pdefect. In Sec. 4, we examine the feasibility of our method using the latter approach.

4.

Experimental Results and Discussions

We predict the defect probabilities in the order between 107 and 105 from 105 measurement data on real EUV-exposed wafers. Mask patterns containing two-dimensional array of more than 107 holes (24-nm diameter in 48-nm pitch) were exposed on a wafer (λ=13.5  nm, NA=0.33) with varying exposure dose to modulate defect probability. For each of the resist pattern groups exposed under 20 kinds of different exposure doses, each hole pattern size was measured by CD-SEM (Hitachi High-Technologies). The size of each feature was calculated from the area of ellipse best fitted to the shape defined by 50% threshold of signal intensity after applying Gauss filter to SEM images. With a 1-nm pixel size, about 50 pixels on edge contribute measurement, and the estimated error due to SEM noise is lower than 0.2 nm at the probe current (>100  pA) used in the experiment.10 We judge features below 9.5 nm as defects and calculate histograms of measured CD excluding these defects. CD histograms [1-nm bin, Fig. 3(a)] and defect probabilities [red diamonds in Fig. 4] were obtained for 2×105 holes for the pattern groups #1 to #12 with relatively high (>105) defect probability and for 107 holes for the pattern groups #13 to #20 with relatively low (<105) defect probability. The defect probabilities exponentially decrease from 103 in group #1 to 107 in group #19 with increasing the average diameter of holes from 16.2 to 19.1 nm. Thus, a 3-nm decrease in feature size increases the defect probability by 4 orders of magnitude.

Fig. 3

(a) Distribution histograms of pattern size with varying exposure dosage (pattern groups #1 to #20). (b) Distributions of pattern size for groups #13, 16, and 19. Solid lines are for the results of full-pattern (107) measurement and small circles are for 105 pattern measurement. (c) Best fitted P2 to reproduce fully inspected results [red diamonds in Fig. 4]. (d) Integrand of Eq. (2) for pattern groups #1 to #20.

JM3_18_2_024002_f003.png

Fig. 4

Defect probabilities P defect for pattern groups #13 to #20. Results of full inspection of 107 features (red) and those predicted from 105 pattern measurement (black). Box plots and normal distribution fits in the right are for 100 predictions using 105 CDs randomly sampled from 107 measurements.

JM3_18_2_024002_f004.png

Here, we focus on the relationship between CD variations and pattern defect probabilities without discussing their root causes. In this experiment, we observed no definitive mask defect that prints on wafers regardless of exposure dosage. Although some defects observed in this experiment can be mask origin, their probabilities exponentially increase with decreasing exposure dose (or delineated hole size) similarly to as expected for other root causes, such as photon shot noises and stochastic variations in resist reactions discussed previously. We regard them equally as defects due to local variations in the amount of reactions, include them in the Pedge distribution, and apply the same P2 function in Eq. (2) no matter if their locations are fixed on the mask or random.

Our strategy is to determine the probability function P2 in Eq. (2) so that it best explains observed defect probabilities Pdefect and CD histogram Pedge for every exposure conditions (pattern groups). In real application environments, it is desired to minimize the number of measurement points (time required for measurement) both in determining P2 and in predicting Pdefect for unknown samples. Here, however, we utilized all the data in the group #1 to #20 for determining P2.

As a rough approximation of our simulated profiles for P2 [Fig. 2(b)], we assume that P2 exponentially decreases with the distance from the edge of main pattern and describes it in the form of P20exp(a·xwidth). Here, we use the width of each feature (xwidth=xright edgexleft edge) instead of xedge to eliminate the influence of variation in pattern center positions. We calculate P2 (P20 and a) so that log(Pedge·P2dxwidth) best fits to log(Pdefect) for 19 groups (#1 to #19), and the obtained profile of P2 is shown in Fig. 3(c). Although P2 has no influence on calculated Pdefect in xwidth<9.5  nm where we judge features as defects (Pedge=0), P2 is set 1 for this region. From a statistical viewpoint, P2 can be regarded as the extreme-value cumulative distribution function that expresses the distribution of maximum distance for defects to continuously extend from the main pattern edge. Here, we leave the relationship between our assumption for P2 and varieties of functions used in this area open.

Next, we predicted the defect probabilities of groups #13 to #20 from 105 CD measurement data in each group with the above obtained P2. To examine the repeatability of the method, we repeated random sampling of 105 CDs from 107 CDs 100 times. Since the defect probabilities for the above groups range between 107 and 105, each sampled CD data rarely contains defects (in average one defect in 10 samplings for Pdefect=106). Predicted probabilities are shown by boxplots in Fig. 4, and they are in good agreement with the results of direct inspection of 107 features (red diamonds).

For the probabilities above 105, the data used for prediction contain some defects, and the box plots are regarded as the results of regression rather than of prediction. Between 107 and 105, the data used for prediction usually contain no defect, and the predicted results (box plots) are verified by directly inspected results. Predicted results below 107 cannot be verified because it is beyond the limitation of direct measurement. These results show 2 orders of magnitude reduction in the time required for evaluating defect probability.

Predicted probabilities fitted into normal distribution are plotted for each of the seven groups in Fig. 4, and the prediction repeatability is in the range between 0.2 and 0.4 digit. Histograms of 105 measured CDs are shown for three groups (#13, 16, and 19) by circles in Fig. 3(b) with those for 107 measurement (solid lines). The frequencies of CDs in 105 histograms begin to scatter in the tail regions, and this limits the precision of the prediction.

To examine the range of edge position contributing to defect generation, the integrands in Eq. (2) [the product of Figs. 3(a) and 3(c)] are shown in Fig. 3(d) for the histograms of full-pattern measurement in every pattern groups. Peaks of the integrands spread to the range below 10 nm. Although histograms should cover this range, this often requires an unacceptably large number of measurement points (and thus long measurement time) for real manufacturing environment with low stochastic defect probability. Next, we extrapolate the tail of histogram to cover the desired range for such cases.

It was reported that CD histograms often deviate from the normal distribution and show exponential or multiple Gaussian distributions in their tails,3,5,7 and its relation to image profiles has also been pointed out.11 This is observed also in our results [Fig. 3(a)]. Figure 5(a) shows histograms of 105 measured CDs randomly sampled from 107 CDs for 100 times (blue circles), histogram of for 107 measurement (red lines), and its normal distribution fit (black dotted line). The observed distribution start deviating from normal distribution for Pdefect lower than 103 and approximately decreases exponentially with decreasing Xwidth. Thus, we extrapolate the tail of distribution for 105 measured CDs using the exponential function.

Fig. 5

(a) Distribution of pattern size for group #19. The 100 histograms of 105 CDs randomly sampled from 107 CD measurements (blue circles), distributions extrapolated from each of the 100 histograms (black solid lines), histogram of 107 CDs (red line), and normal distribution fit of 107 measurements (dotted line). (b) Defect probabilities predicted for pattern groups #1 to #20 using extrapolated distributions. Results of full inspection of 107 features (red) and those predicted from 105 pattern measurement (black). Box plots and normal distribution fits in the right are for 100 predictions using 105 CDs randomly sampled from 107 measurements.

JM3_18_2_024002_f005.png

To suppress the influence of data scattering near the tail of distribution, here, we reject the data at the smallest CD bin of histogram, calculate the slope (decay coefficient) by averaging the slope between the second and the third smallest CD bins and that between the second and fourth smallest CD bins, and connect the exponential function to the measured histogram at the second smallest CD bin. To examine the repeatability of the method, we repeated random sampling of 105 CDs from 107 CDs 100 times. Results of extrapolation are shown by black solid lines for the 100 samplings in Fig. 5(a). Predicted probabilities for groups #1 to #20 are shown by boxplots in Fig. 5(b), and they showed better agreement with the results of full-pattern inspection [red in Fig. 5(b)] than without using the extrapolation [Fig. 4]. The prediction repeatability is in the range between 0.2 and 0.3 digit.

Within the range of this study, it is reasonable to approximate Pedge, P2, and Pdefect by exponential functions in the tail region of Pedge. However, the distributions below 107 need to be examined with various possibilities for statistical functions for modeling them. Finally, we comment on the relation of the present method to the reported defect probability dependence on tail CDs (e.g., defined as CD corresponding to 3σ limit).7 Assuming the exponential function Pedgeexp(b·xedge) for xedge in the tail region, suppose that the distribution of Pedge shifts by δx to Pedgeexp([b(xedge+δx)] due to change in exposure dosage for example. Then, Pdefect changes to Pdefect=exp(b·δx)Pdefect(xedge)[log(Pdefect)=bδx+log(Pdefect)] since the integrand of Eq. (2) is practically determined by the tail region. Thus, defect probability changes exponentially with the tail CD, and the present model explains the tail CD dependence of the defect probability.

In conclusion, applying the present method to plural spots on a chip or on a wafer visualizes the risk distribution of stochastic defects. Direct full inspection is needed only for the extracted risky area, and this is expected to reduce the required area of such a full inspection. Further, the verification results can be used for updating the model (function P2). In this study, we predict stochastic defect probabilities from large-size LCDU data for a specific resist material/process. Note that any change in resist materials/processes can affect stochastic defect probability through the function P2 as well as through the edge distributions (LCDU or LER).

Acknowledgments

The authors acknowledge P. De Bisschop and IMEC for the sample preparation and for their support of this work.

References

1. 

R. van Es et al., “EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update,” Proc. SPIE, 10583 105830H (2018). https://doi.org/10.1117/12.2299503 PSISDG 0277-786X Google Scholar

2. 

R. Brainard et al., “Shot noise, LER and quantum efficiency of EUV photoresists,” Proc. SPIE, 5874 74 –85 (2004). https://doi.org/10.1117/12.536411 PSISDG 0277-786X Google Scholar

3. 

P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041013 (2017). https://doi.org/10.1117/1.JMM.16.4.041013 Google Scholar

4. 

P. De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041011 (2018). https://doi.org/10.1117/1.JMM.17.4.041011 Google Scholar

5. 

F. Wang et al., “Assessment of variability and defectivity by high-throughput e-beam metrology for prediction of patterning defect probabilities,” Proc. SPIE, 10585 1058525 (2018). https://doi.org/10.1117/12.2297603 PSISDG 0277-786X Google Scholar

6. 

K. Kaushik Sah et al., “EUV stochastic defect monitoring with advanced broadband optical wafer inspection and e-beam review systems,” Proc. SPIE, 10809 1080909 (2018). https://doi.org/10.1117/12.2501825 PSISDG 0277-786X Google Scholar

7. 

M. J. Maslow et al., “Impact of local variability on defect-aware process window degradation,” Proc. SPIE, 10957 109570H (2019). https://doi.org/10.1117/12.2514719 PSISDG 0277-786X Google Scholar

8. 

H. Fukuda, “Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (1), 013503 (2019). https://doi.org/10.1117/1.JMM.18.1.013503 Google Scholar

9. 

H. Fukuda, “Impact of asymmetrically localized and cascading secondary electron generation on stochastic defects in EUV lithography,” Proc. SPIE, 10957 109570G (2019). https://doi.org/10.1117/12.2514018 PSISDG 0277-786X Google Scholar

10. 

H. Fukuda et al., “Measurement of pattern roughness and local size variation using CDSEM,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041004 (2018). https://doi.org/10.1117/1.JMM.17.4.041004 Google Scholar

11. 

R. L. Bistol and M. E. Krysak, “Lithographic stochastics: beyond 3σ,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (2), 023505 (2017). https://doi.org/10.1117/1.JMM.16.2.023505 Google Scholar

Biography

Hiroshi Fukuda joined Hitachi Central Research Laboratory in 1985, where he has engaged in various fields of lithography as well as nanodevices, MEMS, and hard disk drives, including research activities at Stanford University and Hitachi Europe Ltd. He has been with Hitachi High-Technologies since 2012. He received his BS, MS, and PhD degrees from Tokyo Institute of Technology in 1983, 1985, and 1994, respectively. He has published more than 30/80 journal/conference papers and holds over 20 patents.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Hiroshi Fukuda, Yoshinori Momonoi, and Kei Sakai "Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(2), 024002 (3 May 2019). https://doi.org/10.1117/1.JMM.18.2.024002
Received: 29 January 2019; Accepted: 12 April 2019; Published: 3 May 2019
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Stochastic processes

Inspection

Cadmium

Extreme ultraviolet lithography

Semiconducting wafers

Extreme ultraviolet

Statistical analysis

RELATED CONTENT


Back to Top